Plasma treatment between deposition processes

Abstract
Embodiments of the present invention include an improved method of forming a thin film solar cell device using a plasma processing treatment between two or more deposition steps. Embodiments of the invention also generally provide a method and apparatus for forming the same. The present invention may be used to advantage to form other single junction, tandem junction, or multi-junction solar cell devices.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the present invention generally relate to solar cells and methods and apparatuses for forming the same. More particularly, embodiments of the present invention relate to thin film solar cells and methods and apparatuses for forming the same.


2. Description of the Related Art


Crystalline silicon solar cells and thin film solar cells are two types of solar cells. Crystalline silicon solar cells typically use either mono-crystalline substrates (i.e., single-crystal substrates of pure silicon) or a multi-crystalline silicon substrates (i.e., poly-crystalline or polysilicon). Additional film layers are deposited onto the silicon substrates to improve light capture, form the electrical circuits, and protect the devices. Thin-film solar cells use thin layers of materials deposited on suitable substrates to form one or more p-i-n junctions.


Problems with current thin film solar cells include low efficiency and high cost. Therefore, there is a need for improved thin film solar cells and methods and apparatuses for forming the same in a factory environment.


SUMMARY OF THE INVENTION

Embodiments of the present invention generally provide a method of forming a thin film solar cell, comprising transferring a substrate into a plasma enhanced chemical vapor deposition chamber, depositing an n-doped amorphous silicon layer over the substrate, providing a plasma treatment to the n-doped amorphous silicon layer disposed on the substrate, depositing an n-doped microcrystalline silicon layer over the n-doped amorphous silicon layer; and removing the substrate from the chamber.


Embodiment of the invention may further provide a method of forming a thin film solar cell, comprising transferring a substrate into a first plasma enhanced chemical vapor deposition chamber disposed in a first system, depositing a p-doped silicon layer over a surface of the substrate in the first plasma enhanced chemical vapor deposition chamber, transferring a substrate from the first plasma enhanced chemical vapor deposition chamber into a second plasma enhanced chemical vapor deposition chamber disposed in the first system, depositing an intrinsic amorphous silicon layer in the second plasma enhanced chemical vapor deposition chamber over the p-doped silicon layer, depositing an n-doped amorphous silicon layer over the intrinsic amorphous silicon layer, exposing the n-doped amorphous silicon layer to a plasma treatment, depositing an n-doped microcrystalline silicon layer over the n-doped amorphous silicon layer, and removing the substrate from the second plasma enhanced chemical vapor deposition chamber.


Embodiment of the invention may further provide a method of forming a thin film solar cell, comprising depositing an amorphous silicon layer over a surface of a transparent substrate, providing a plasma treatment to the amorphous silicon layer disposed on the transparent substrate, and depositing an microcrystalline silicon layer over the amorphous silicon layer.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 is a schematic diagram of a multi-junction solar cell oriented toward the light or solar radiation according to one embodiment of the invention.



FIG. 2 is a schematic cross-section view of one embodiment of a plasma enhanced chemical vapor deposition (PECVD) chamber.



FIG. 3A is a plan schematic view of a processing system according to one embodiment of the invention.



FIG. 3B is a plan schematic view of a processing system according to one embodiment of the invention.



FIG. 4 is a flow chart of one embodiment of forming a p-i-n junction according to one embodiment of the invention.



FIG. 5 is a schematic diagram of a multi-junction solar cell oriented toward the light or solar radiation according to one embodiment of the invention.





To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.


DETAILED DESCRIPTION

Embodiments of the present invention include improved thin film solar cells and methods and apparatus for forming the same. For ease and clarity of description, the present invention will be described in reference to the tandem junction solar cell of FIG. 1 although the present invention may be used to advantage to form other single junction, tandem junction, or multi-junction solar cells.



FIG. 1 is a schematic diagram of a multi-junction solar cell 100 oriented toward the light or solar radiation 101. Solar cell 100 comprises a substrate 102, such as a glass substrate, polymer substrate, or other suitable transparent substrate, with thin films formed thereover. The solar cell 100 further comprises a first transparent conducting oxide (TCO) layer 110 formed over the substrate 102, a first p-i-n junction 120 formed over the first TCO layer 110, a second p-i-n junction 130 formed over the first p-i-n junction 120, a second TCO layer 140 formed over the second p-i-n junction 130, and a metal back layer 150 formed over the second TCO layer 140. To improve light absorption by reducing light reflection, the substrate and/or one or more of thin films formed thereover may be optionally textured by wet, plasma, ion, and/or mechanical processes. For example, in the embodiment shown in FIG. 1, the first TCO layer 110 is textured and the subsequent thin films deposited thereover will generally follow the topography of the surface below it.


The first TCO layer 110 and the second TCO layer 140 may each comprise tin oxide, zinc oxide, indium tin oxide, cadmium stannate, doped materials thereof combinations thereof, or other suitable materials. It is understood that the TCO materials may also include additional dopants and components. For example, zinc oxide may further include dopants, such as aluminum, gallium, boron, and other suitable dopants. Zinc oxide preferably comprises 5 atomic % or less of dopants, and more preferably comprises 2.5 atomic % or less aluminum. For example, tin oxide may further include dopants such as fluorine. In certain instances, the substrate 102 may be provided by the glass manufacturers with the first TCO layer 110 already provided.


The first p-i-n junction 120 comprises a p-doped silicon layer 122, an intrinsic silicon layer 124, and an n-doped silicon layer 126. The second p-i-n junction 130 comprises a p-doped silicon layer 132, an intrinsic silicon layer 134, and an n-doped silicon layer 136. In certain embodiments, the intrinsic silicon layer 124 of the first p-i-n junction 120 comprises an amorphous silicon layer whereas the intrinsic silicon layer 134 of the second p-i-n junction 130 comprises a microcrystalline silicon layer since the amorphous silicon intrinsic layer and the microcrystalline silicon intrinsic layer absorb different regions of the solar spectrum. In one embodiment, the p-doped silicon layer 122, the intrinsic silicon layer 124, and the n-doped silicon layer 126 are each formed from an amorphous silicon containing layer. In one embodiment, the p-doped silicon layer 132 and the intrinsic silicon layer 134 are each formed from a microcrystalline silicon containing layer, and the n-doped silicon layer 136 is formed from an amorphous silicon containing layer. It is believed that using an n-type amorphous silicon layer 136 over a p-doped microcrystalline silicon layer 132 and the intrinsic microcrystalline silicon layer 134 in the second p-i-n junction 130 provides increased cell efficiency since the n-type amorphous silicon layer 136 is more resistant to attack from oxygen, such as the oxygen in air. Oxygen may attack the silicon films and thus forming impurities which lower the capability of the films to participate in electron/hole transport therethrough. It is also believed that the lower electrical resistivity of an amorphous silicon layer versus a crystalline silicon layer in the formed solar cell structure/device will have improved electrical properties due to the reduced affect of unwanted shunt paths on the power generation in the formed second p-i-n junction 130. Shunt paths, which generally extend vertically through the formed p-i-n layers, degrade the solar cells performance by shorting out local lateral regions of the formed solar cell device. Therefore, since the lateral resistance of the amorphous n-type layer (i.e., perpendicular to the vertical direction) is much higher than a crystalline layer the lower the affect that a shunt type defect will have on the rest of the formed solar cell. The reduction in the affect of shunt type defects will improve the solar cell's device performance.



FIG. 2 is a schematic cross-section view of one embodiment of a plasma enhanced chemical vapor deposition (PECVD) chamber 400 in which one or more films of a solar cell, such as one or more silicon layers of the first p-i-n junction 120 and/or the second p-i-n junction 130 of the solar cell 100 of FIG. 1, may be deposited. One suitable plasma enhanced chemical vapor deposition chamber is available from Applied Materials, Inc., located in Santa Clara, Calif. It is contemplated that other deposition chambers, including those from other manufacturers, may be utilized to practice the present invention.


The chamber 400 generally includes walls 402, a bottom 404, and a showerhead 410, and substrate support 430 which define a process volume 406. The process volume is accessed through a valve 408 such that the substrate, such as substrate 102, may be transferred in and out of the chamber 400. The substrate support 430 includes a substrate receiving surface 432 for supporting a substrate and stem 434 coupled to a lift system 436 to raise and lower the substrate support 430. A shadow from 433 may be optionally placed over periphery of the substrate 102. Lift pins 438 are moveably disposed through the substrate support 430 to move a substrate to and from the substrate receiving surface 432. The substrate support 430 may also include heating and/or cooling elements 439 to maintain the substrate support 430 at a desired temperature. The substrate support 430 may also include grounding straps 431 to provide RF grounding at the periphery of the substrate support 430. Examples of grounding straps are disclosed in U.S. Pat. No. 6,024,044 issued on Feb. 15, 2000 to Law et al. and U.S. patent application Ser. No. 11/613,934 filed on Dec. 20, 2006 to Park et al., which are both incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.


The showerhead 410 is coupled to a backing plate 412 at its periphery by a suspension 414. The showerhead 410 may also be coupled to the backing plate by one or more center supports 416 to help prevent sag and/or control the straightness/curvature of the showerhead 410. A gas source 420 is coupled to the backing plate 412 to provide gas through the backing plate 412 and through the holes 411 formed in showerhead 410 to the substrate receiving surface 432. A vacuum pump 409 is coupled to the chamber 400 to control the process volume 406 at a desired pressure. An RF power source 422 is coupled to the backing plate 412 and/or to the showerhead 410 to provide a RF power to the showerhead 410 so that an electric field is created between the showerhead and the substrate support so that a plasma may be generated from the gases between the showerhead 410 and the substrate support 430. Various RF frequencies may be used, such as a frequency between about 0.3 MHz and about 200 MHz. In one embodiment the RF power source is provided at a frequency of 13.56 MHz. Examples of showerheads are disclosed in U.S. Pat. No. 6,477,980 issued on Nov. 12, 2002 to White et al., U.S. Publication 20050251990 published on Nov. 17, 2006 to Choi et al., and U.S. Publication 2006/0060138 published on Mar. 23, 2006 to Keller et al, which are all incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.


A remote plasma source 424, such as an inductively coupled remote plasma source, may also be coupled between the gas source and the backing plate. Between processing substrates, a cleaning gas may be provided to the remote plasma source 424 so that a remote plasma is generated and provided to clean chamber components. The cleaning gas may be further excited by the RF power source 422 provided to the showerhead. Suitable cleaning gases include but are not limited to NF3, F2, and SF6. Examples of remote plasma sources are disclosed in U.S. Pat. No. 5,788,778 issued Aug. 4, 1998 to Shang et al, which is incorporated by reference to the extent not inconsistent with the present disclosure.


In one embodiment, the heating and/or cooling elements 439 may be set to provide a substrate support temperature during deposition of about 400 degrees Celsius or less, preferably between about 100 degrees Celsius and about 400 degrees Celsius, more preferably between about 150 degrees Celsius and about 300 degrees Celsius, such as about 200 degrees Celsius.


For deposition of silicon films, a silicon-based gas and a hydrogen-based gas are provided. Suitable silicon based gases include, but are not limited to silane (SiH4), disilane (Si2H6), silicon tetrafluoride (SiF4), silicon tetrachloride (SiCl4), dichlorosilane (SiH2Cl2), and combinations thereof. Suitable hydrogen-based gases include, but are not limited to hydrogen gas (H2). The p-type dopants of the p-type silicon layers may each comprise a group III element, such as boron or aluminum. Preferably, boron is used as the p-type dopant. Examples of boron-containing sources include trimethylboron (TMB (or B(CH3)3)), diborane (B2H6), BF3, B(C2H5)3, and similar compounds. Preferably, TMB is used as the p-type dopant. The n-type dopants of the n-type silicon layer may each comprise a group V element, such as phosphorus, arsenic, or antimony. Preferably, phosphorus is used as the n-type dopant. Examples of phosphorus-containing sources include phosphine and similar compounds. The dopants are typically provided with a carrier gas, such as hydrogen, argon, helium, and other suitable compounds. In the process regimes disclosed herein, a total flow rate of hydrogen gas is provided. Therefore, if a hydrogen gas is provided as the carrier gas, such as for the dopant, the carrier gas flow rate should be subtracted from the total flow rate of hydrogen to determine how much additional hydrogen gas should be provided to the chamber.



FIG. 3A and FIG. 3B are schematic plan views of embodiments of a process system, or system 500, having a plurality of process chambers 531, such as PECVD chambers chamber 400 of FIG. 2 or other suitable chambers capable of depositing silicon films. The system 500 includes a transfer chamber 520 coupled to a load lock chamber 510 and the process chambers 531. The load lock chamber 510 allows substrates to be transferred between the ambient environment outside the system and vacuum environment within the transfer chamber 520 and process chambers 531. The load lock chamber 510 includes one or more evacuatable regions holding one or more substrate. The evacuatable regions are pumped down during input of substrates into the system 500 and are vented during output of the substrates from the system 500. The transfer chamber 520 has at least one vacuum robot 522 disposed therein that is adapted to transfer substrates between the load lock chamber 510 and the process chambers 531. Five process chambers are shown in FIG. 3A and seven process chambers are shown in FIG. 3B; however, the system may have any suitable number of process chambers.


In certain embodiments of the invention, one system 500 is configured to form at least one p-i-n junction, such as at least one of the p-i-n junctions of FIG. 1. At least one of process chambers 531 is configured to deposit a p-doped silicon layer and at least one of the process chambers 531 is configured to deposit an n-doped silicon layer. In certain aspects it may be advantageous to deposit p-doped silicon layers and n-doped silicon layers in separate process chambers to reduce the chance of contamination from the different dopants. In one embodiment, the intrinsic silicon layer may be deposited in a separate process chamber from the p-doped and n-doped silicon process chambers. However, to increase throughput, the intrinsic silicon layer may be deposited in the same chamber as p-doped silicon deposition or as the same chamber as n-doped silicon deposition.



FIG. 4 is a flow chart of one embodiment of providing a plasma treatment in forming a solar cell. In step 452, a substrate is transferred into a PECVD process chamber, such as one of the process chambers 531 of systems 500 of FIG. 3A or FIG. 3B. In step 454, an n-doped amorphous silicon layer is deposited over the substrate. In step 456, a plasma treatment is provided to the substrate, which is discussed below. The plasma treatment preferably comprises a hydrogen plasma. Other suitable plasmas may also be used. In step 458, an n-doped microcrystalline layer is deposited over the substrate. In step 460, the substrate is removed from the PECVD process chamber.


Not wishing to be bound by theory unless explicitly set forth in the claims, it is believed that a plasma treatment over an n-doped amorphous silicon layer helps to improve deposition of an n-doped microcrystalline silicon layer thereover. In one theory, it is believed that the plasma treatment helps to convert at least a portion of the n-doped amorphous silicon layer into n-doped microcrystalline silicon. This n-doped microcrystalline silicon acts as a seed layer improving n-doped microcrystalline silicon deposition thereon. In another theory, it is believed that a hydrogen plasma treatment creates a hydrogen-rich surface over the substrate improving formation of the later deposited n-doped microcrystalline silicon thereover.


In one embodiment, the n-doped silicon layer 136 comprises a first bottom cell n-doped amorphous silicon layer and a second bottom cell n-doped silicon layer. In certain embodiments, the first bottom cell n-doped amorphous semiconductor layer may be formed to a thickness between about 100 Å and about 400 Å. In certain embodiments, second bottom cell n-doped silicon layer may be formed from a degenerately doped layer (e.g., n++-type layer) to a thickness between about 50 Å and about 150 Å. In one embodiment, the second bottom cell n-doped silicon layer is an n-type microcrystalline layer. In another embodiment, the second bottom cell n-doped silicon layer is an n-type amorphous layer. Therefore, in one embodiment, when forming the bottom cell 130, a process step similar to step 456 may be performed after depositing the first bottom cell n-doped amorphous silicon layer, but prior to depositing the second bottom cell n-doped silicon layer.


The process of FIG. 4 may be applied to the formation of an n-doped silicon layer 126 in the tandem cell of FIG. 1 in which the intrinsic silicon layer 124 comprises amorphous silicon and the intrinsic silicon layer 134 comprises microcrystalline silicon. The n-doped silicon layer 126 is formed by depositing an n-doped amorphous silicon layer, plasma treating the n-doped amorphous silicon layer, and then by depositing an n-doped microcrystalline silicon layer thereon. In certain embodiments, as illustrated in FIG. 5, the n-doped silicon layer 126 comprises an n-doped amorphous silicon layer 125A formed to a thickness between about 10 Å and about 200 Å and an n-type microcrystalline layer 125B formed to a thickness between about 100 Å and about 400 Å. In one embodiments, as illustrated in FIG. 5, the n-doped silicon layer 126 comprises an n-doped amorphous silicon layer 125A formed to a thickness between about 30 Å and about 50 Å and an n-type microcrystalline layer 125B formed to a thickness between about 250 Å and about 400 Å. Not wishing to be bound by theory, unless set forth in the claims, the n-doped amorphous silicon layer is believed to help bridge the bandgap offset that is believed to exist between the amorphous type intrinsic silicon layer 124 and the n-doped microcrystalline silicon layer. Thus it is believed that cell efficiency is improved due to enhanced current collection.


Certain embodiments of depositing an n-doped amorphous silicon layer comprise providing hydrogen gas to silicon gas in a ratio of about 20:1 or less. Hydrogen gas may be provided at a flow rate between about 4 sccm/L and about 50 sccm/L. Phosphine may be provided at a flow rate between about 0.0005 sccm/L and about 0.0075 sccm/L. In other words, if phosphine is provided in a 0.5% molar or volume concentration in a carrier gas, then the dopant/carrier gas mixture may be provided at a flow rate between about 0.1 sccm/L and about 1.5 sccm/L. Silane gas may be provided at a flow rate between about 1 sccm/L and about 10 sccm/L. The flow rates in the present disclosure are expressed as sccm per interior chamber volume. The interior chamber volume is defined as the volume of the interior of the chamber in which a gas can occupy. For example, the interior chamber volume of chamber 400 of FIG. 2 is the volume defined by the backing plate 412 and by the walls 402 and bottom 404 of the chamber minus the volume occupied therein by the showerhead assembly (i.e., including the showerhead 410, suspension 414, center support 415) and by the substrate support assembly (i.e., substrate support 430, grounding straps 431). An RF power between about 50 milliWatts/cm2 and about 250 milliWatts/cm2 may be provided to the showerhead. The RF powers in the present disclosure are expressed as Watts supplied to an electrode per substrate area. For example, for a RF power of 10,385 Watts supplied to a showerhead to process a substrate having dimensions of 220 cm×260 cm, the RF power would be 10,385 Watts/(220 cm ×260 cm)=180 milliWatts/cm2. The pressure of the chamber may be maintained between about 0.1 Torr and 20 Torr, preferably between about 0.5 Torr and about 4 Torr. The spacing during deposition between the top surface of a substrate disposed on the substrate receiving surface 432 and the showerhead 410 may be between 400 mil (i.e., thousands of an inch) and about 1,200 mil, preferably between 400 mil and about 800 mil. The deposition rate of the n-type amorphous silicon buffer layer may be about 200 Å/min or more.


Certain embodiments of a plasma treatment process (e.g., step 456) comprise providing a hydrogen (H2) gas at a flow rate between about 5 sccm/L and 100 sccm/L. In certain embodiments, the plasma treatment process comprise providing a hydrogen (H2) gas at a flow rate between about 5 sccm/L and 100 sccm/L. In another embodiment, the plasma treatment process comprises providing helium (He), carbon dioxide (CO2), argon (Ar), and/or other similar gas at a similar mass flow rate. An RF power between 10 milliWatts/cm2 and about 250 milliWatts/cm2 may be provided to the showerhead during the plasma treatment process. The pressure of the chamber during the plasma treatment process may be maintained between about 1 Torr and about 100 Torr, preferably between about 3 Torr and about 20 Torr, more preferably between 4 Torr and about 12 Torr. The spacing between the top surface of a substrate disposed on the substrate receiving surface 432 and the showerhead 410 may be between about 400 mil (10.2 mm) and about 1,200 mil (30.4 mm), preferably between 400 mil (10.2 mm) and about 800 mil (20.4 mm) during the plasma treatment process. Not wishing to be bound by theory unless explicitly set forth in the claims, it is believed that the plasma treatment process is useful, since the process provides an increased number of nucleation sites for the n-doped microcrystalline layer to form on the treated n-doped amorphous silicon layer, due to the change in the surface morphology (e.g., roughness) created by the plasma bombardment of the n-doped amorphous silicon layer during processing. The improved in film morphology and increase the number nucleation sites can thus improve the n-doped amorphous silicon layer's properties and reduce the time required to form the n-doped microcrystalline layer of a desired thickness.


While the discussion herein, mainly discusses providing a plasma treatment process between the deposition of an n-doped amorphous silicon layer and an n-doped microcrystalline layer this process configuration is not intended to limiting as to the scope of the invention described herein. It is thus noted that prior to each of the deposition steps for each of the layers in the first p-i-n junction 120 and the second p-i-n junction 130, including n-type, intrinsic type and p-type silicon containing layers, an optional plasma treatment process may be performed. As noted above the plasma treatment is believed to be especially advantageous when used between an amorphous layer deposition step and a microcrystalline layer deposition step to promote nucleation of the microcrystalline layer.


Certain embodiments of depositing an n-doped microcrystalline silicon layer may comprise providing a gas mixture of hydrogen gas to silane gas in a ratio of about 100:1 or more. Silane gas may be provided at a flow rate between about 0.1 sccm/L and about 0.8 sccm/L. Hydrogen gas may be provided at a flow rate between about 30 sccm/L and about 250 sccm/L. Phosphine may be provided at a flow rate between about 0.0005 sccm/L and about 0.004 sccm/L. In other words, if phosphine is provided in a 0.5% molar or volume concentration in a carrier gas, then the dopant/carrier gas may be provided at a flow rate between about 0.1 sccm/L and about 0.8 sccm/L. An RF power between about 100 milliWatts/cm2 and about 900 milliWatts/cm2 may be provided to the showerhead. The pressure of the chamber may be maintained between about 1 Torr and about 100 Torr, preferably between about 3 Torr and about 20 Torr, more preferably between 4 Torr and about 12 Torr. The deposition rate of the n-type microcrystalline silicon layer may be about 50 Å/min or more. The n-type microcrystalline silicon layer has a crystalline fraction between about 20 percent and about 80 percent, preferably between 50 percent and about 70 percent.


Examples of various processing steps that may be adapted to form one or more of the layers described herein to form a tandem solar cell may be found in the pending U.S. patent application Ser. No. 11/671,988 filed Feb. 6, 2007, entitled “Multi-Junction Solar Cells and Methods and Apparatuses for Forming the Same”, the pending U.S. patent application Ser. No. 12/178,289 filed Jul. 23, 2008, entitled “Multi-Junction Solar Cells and Methods and Apparatuses for Forming the Same,” and the pending U.S. patent application Ser. No. 11/426,127 filed Jun. 23, 2006, entitled “Methods and Apparatus for Depositing a Microcrystalline Silicon Film for Photovoltaic Device,” which are all incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.


Referring back to FIGS. 3A-3B, in one embodiment of the system 500, one of the process chambers 531 is configured to deposit the p-type silicon layer(s) in the first p-i-n junction 120 or the second p-i-n junction 130 of a solar cell device, another one of the process chambers 531 is configured to deposit an intrinsic silicon layer of the first or the second p-i-n junction, and another of the process chambers 531 is configured to deposit the n-type silicon layer(s) of the first or the second p-i-n junction. As noted above, while a three chamber process configuration may have some contamination control advantages, it will generally have a lower substrate throughput than a two chamber processing system, and generally cannot maintain a desirable throughput when one or more of the processing chambers is brought down for maintenance.


In certain embodiments of the invention, the system 500 (e.g., FIG. 3A or FIG. 3B) is configured to form at least one of the p-i-n junctions, such as the first p-i-n junction 120 or the second p-i-n junction 130 illustrated in FIGS. 1 or 5. In one embodiment, one of the process chambers 531 is configured to deposit the p-type silicon layer(s) of the first p-i-n junction 120 while the remaining process chambers 531 are each configured to deposit both the intrinsic type silicon layer(s), and the n-doped silicon layers of the first p-i-n junction 120. In one embodiment, the intrinsic type silicon layer(s) and the n-type silicon layers of the first p-i-n junction 120 or the second p-i-n junction 130 may be deposited in the same chamber without performing a seasoning process (e.g., intrinsic type layer deposited on the chamber walls) in between steps, which is used to minimize cross-contamination between the deposited layers, in between the deposition steps. While the discussion of the system 500 and its components references its use in forming the various elements of the first p-i-n junction 120 this configuration is not intended to be limiting as to the scope of the invention described herein, since the system 500 could be adapted to form the first p-i-n junction, the second p-i-n junction, both the first and second p-i-n junctions, or other combinations thereof without deviating from the basic scope of the invention described herein.


In one example, in which the substrate processing sequence performed in a system configured similarly to the system 500, a substrate enters the system 500 through the load lock chamber 510, the substrate is then transferred by the vacuum robot 522 into the process chamber 531 that is configured to deposit a p-type silicon layer(s) on the substrate, after depositing the p-type layer in process chamber 531 the substrate is then transferred by the vacuum robot 522 into another of the process chambers 531 that is configured to deposit both the intrinsic type silicon layer(s) and the n-type silicon layers, and then after depositing the intrinsic-type layer(s) and n-type layers the substrate is returned to the load lock chamber 510 after which the substrate can be removed from the system. A continuous series of substrates can be loaded and maneuvered by the vacuum robot 522 from a process chamber that is adapted to deposit a p-type layer and then transfer each of the substrates to at least one subsequent processing chamber to form the i-n layers. In one embodiment, the first p-i-n junction 120 is formed in one system 500 and the second p-i-n junction 130 is formed in another system 500. In one case, a vacuum break, or exposure to ambient atmospheric conditions (e.g., air), will occur between the formation of the first p-i-n junction 120 and the second p-i-n junction 130 in different systems.


In a two chamber processing configuration, subsequent to deposition of the i-n layers in each of the chambers dedicated to producing the same, the process may be repeated. However, to preclude contamination being incorporated into the intrinsic layers formed on subsequent substrates, it has been found that performing a cleaning process, such as a seasoning process in each of the chambers dedicated to producing the i-n layers at some desired interval the device yield of the processing sequence can be improved. The seasoning process may generally comprises one or more steps that are used to remove prior deposited material from a processing chamber part and one or more steps that are used to deposit a material on the processing chamber part as discussed in accordance with one of the embodiments described herein. An example of a seasoning process and solar cell processing sequence that may be used is further described in the U.S. patent application Ser. No. 12/170,387, filed Jul. 9, 2008, which is herein incorporated by reference.


While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method of forming a thin film solar cell, comprising: transferring a substrate into a plasma enhanced chemical vapor deposition chamber;depositing an n-doped amorphous silicon layer over the substrate;providing a plasma treatment to the n-doped amorphous silicon layer disposed on the substrate;depositing an n-doped microcrystalline silicon layer over the n-doped amorphous silicon layer;removing the substrate from the chamber; andforming a p-i-n junction over the n-doped microcrystalline silicon layer, wherein forming the p-i-n junction comprises: depositing a p-doped microcrystalline silicon layer over the n-doped microcrystalline silicon layer;depositing an n-doped amorphous silicon layer over the p-doped silicon layer; anddepositing an intrinsic microcrystalline silicon layer between the p-doped microcrystalline silicon layer and the n-doped amorphous silicon layer.
  • 2. The method of claim 1, wherein providing a plasma treatment comprises generating a plasma using a hydrogen gas.
  • 3. The method of claim 1, wherein the intrinsic microcrystalline silicon layer has a thickness of greater than about 1,000 Å.
  • 4. The method of claim 1, wherein the p-doped microcrystalline layer is deposited on the surface of the deposited n-doped microcrystalline silicon layer.
  • 5. A method of forming a thin film solar cell, comprising: transferring a substrate into a first plasma enhanced chemical vapor deposition chamber disposed in a first system;depositing a p-doped silicon layer over a surface of the substrate in the first plasma enhanced chemical vapor deposition chamber;transferring a substrate from the first plasma enhanced chemical vapor deposition chamber into a second plasma enhanced chemical vapor deposition chamber disposed in the first system;depositing an intrinsic amorphous silicon layer in the second plasma enhanced chemical vapor deposition chamber over the p-doped silicon layer;depositing an n-doped amorphous silicon layer over the intrinsic amorphous silicon layer;exposing the n-doped amorphous silicon layer to a plasma treatment;depositing an n-doped microcrystalline silicon layer over the n-doped amorphous silicon layer;removing the substrate from the second plasma enhanced chemical vapor deposition chamber; andforming a p-i-n junction over the n-doped microcrystalline silicon layer, wherein forming the p-i-n junction comprises: depositing a p-doped microcrystalline silicon layer over the n-doped microcrystalline silicon layer;depositing an n-doped amorphous silicon layer over the p-doped silicon layer; anddepositing an intrinsic microcrystalline silicon layer between the p-doped microcrystalline silicon layer and the n-doped amorphous silicon layer.
  • 6. The method of claim 5, wherein exposing the n-doped amorphous silicon layer to a plasma treatment plasma treatment comprises generating plasma using a hydrogen gas.
  • 7. The method of claim 5, further comprising transferring the substrate from the second plasma enhanced chemical vapor deposition chamber in the first system to a first plasma enhanced chemical vapor deposition chamber disposed in a second system before depositing a p-doped microcrystalline silicon layer over the n-doped microcrystalline silicon layer.
  • 8. A method of forming a thin film solar cell, comprising: depositing an amorphous silicon layer over a surface of a transparent substrate;providing a plasma treatment to the amorphous silicon layer disposed on the transparent substrate;depositing an microcrystalline silicon layer over the amorphous silicon layer; andforming a p-i-n junction over the microcrystalline silicon layer, wherein forming the p-i-n junction comprises: depositing a p-doped microcrystalline silicon layer over the n-doped microcrystalline silicon layer;depositing an n-doped amorphous silicon layer over the p-doped silicon layer; anddepositing an intrinsic microcrystalline silicon layer between the p-doped microcrystalline silicon layer and the n-doped amorphous silicon layer.
  • 9. The method of claim 8, wherein providing a plasma treatment comprises providing a gas selected from the group consisting of hydrogen, helium, argon and carbon dioxide.
  • 10. The method of claim 8, further comprising depositing a transparent conductive oxide layer on the surface of the transparent substrate before depositing the amorphous silicon layer.
  • 11. The method of claim 8, wherein depositing the n-doped amorphous silicon layer further comprises: depositing a first n-doped layer on the intrinsic microcrystalline silicon layer; anddepositing a second n-doped amorphous layer on the first n-doped layer, wherein the second n-doped amorphous layer is degenerately doped.
  • 12. The method of claim 1, wherein depositing the n-doped amorphous silicon layer further comprises: depositing a first n-doped layer on the intrinsic microcrystalline silicon layer; anddepositing a second n-doped amorphous layer on the first n-doped layer.
  • 13. The method of claim 5, wherein depositing the n-doped amorphous silicon layer further comprises: depositing a first n-doped layer on the intrinsic microcrystalline silicon layer; anddepositing a second n-doped amorphous layer on the first n-doped layer.
  • 14. The method of claim 1, further comprising: transferring the substrate into a second plasma enhanced chemical vapor deposition chamber, wherein depositing the p-doped microcrystalline silicon layer over the n-doped microcrystalline silicon layer is performed in the second plasma enhanced chemical vapor deposition chamber; andtransferring the substrate into a third plasma enhanced chemical vapor deposition chamber, wherein depositing the n-doped amorphous silicon layer and depositing the intrinsic microcrystalline silicon layer is performed in the third plasma enhanced chemical vapor deposition chamber.
  • 15. The method of claim 5, further comprising: transferring the substrate into a third plasma enhanced chemical vapor deposition chamber disposed in a second system, wherein depositing the p-doped microcrystalline silicon layer over the n-doped microcrystalline silicon layer is performed in the third plasma enhanced chemical vapor deposition chamber; andtransferring the substrate from the third plasma enhanced chemical vapor deposition chamber into a fourth plasma enhanced chemical vapor deposition chamber disposed in the second system, wherein depositing the n-doped amorphous silicon layer and depositing the intrinsic microcrystalline silicon layer is performed in the fourth plasma enhanced chemical vapor deposition chamber.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. Provisional Patent Application Ser. No. 60/985,194, filed Nov. 2, 2007, which is herein incorporated by reference.

US Referenced Citations (176)
Number Name Date Kind
4063735 Wendel Dec 1977 A
4068043 Carr Jan 1978 A
4271328 Hamakawa et al. Jun 1981 A
4272641 Hanak Jun 1981 A
4315096 Tyan et al. Feb 1982 A
4400577 Spear Aug 1983 A
4471155 Mohr et al. Sep 1984 A
4476346 Tawada et al. Oct 1984 A
4490573 Gibbons Dec 1984 A
4514579 Hanak Apr 1985 A
4571448 Barnett Feb 1986 A
4591892 Yamazaki May 1986 A
4633034 Nath et al. Dec 1986 A
4667058 Catalano et al. May 1987 A
4690717 Yamazaki Sep 1987 A
4728370 Ishii et al. Mar 1988 A
4737196 Yukimoto Apr 1988 A
4755475 Kiyama et al. Jul 1988 A
4776894 Watanabe et al. Oct 1988 A
4781765 Watanabe et al. Nov 1988 A
4841908 Jacobson et al. Jun 1989 A
4878097 Yamazaki et al. Oct 1989 A
4891330 Guha et al. Jan 1990 A
4907052 Takada et al. Mar 1990 A
4948436 Juergens Aug 1990 A
4954856 Yamazaki Sep 1990 A
5009719 Yoshida Apr 1991 A
5015838 Yamagishi et al. May 1991 A
5021100 Ishihara et al. Jun 1991 A
5032884 Yamagishi et al. Jul 1991 A
5204272 Guha et al. Apr 1993 A
5246506 Arya et al. Sep 1993 A
5252142 Matsuyama et al. Oct 1993 A
5256887 Yang Oct 1993 A
5278015 Iwamoto et al. Jan 1994 A
5324365 Niwa Jun 1994 A
5403404 Arya et al. Apr 1995 A
5453135 Nakagawa et al. Sep 1995 A
5469300 Nomura Nov 1995 A
5589007 Fujioka et al. Dec 1996 A
5620530 Nakayama Apr 1997 A
5667597 Ishihara Sep 1997 A
5677236 Saitoh et al. Oct 1997 A
5700467 Shima et al. Dec 1997 A
5719076 Guha Feb 1998 A
5720826 Hayashi et al. Feb 1998 A
5730808 Yang et al. Mar 1998 A
5738732 Nakamura et al. Apr 1998 A
5739043 Yamamoto Apr 1998 A
5788447 Yonemitsu et al. Aug 1998 A
5797998 Wenham et al. Aug 1998 A
5828117 Kondo et al. Oct 1998 A
5853498 Beneking et al. Dec 1998 A
5911839 Tsai et al. Jun 1999 A
5913986 Matsuyama Jun 1999 A
5927994 Kohda et al. Jul 1999 A
5942049 Li et al. Aug 1999 A
5942050 Green et al. Aug 1999 A
5977476 Guha et al. Nov 1999 A
6077722 Jansen et al. Jun 2000 A
6078059 Nakata Jun 2000 A
6100466 Nishimoto Aug 2000 A
6111189 Garvison et al. Aug 2000 A
6121541 Arya Sep 2000 A
6168968 Umemoto et al. Jan 2001 B1
6180870 Sano et al. Jan 2001 B1
6190932 Yoshimi et al. Feb 2001 B1
6200825 Yoshimi et al. Mar 2001 B1
6211454 Sano Apr 2001 B1
6222115 Nakanishi Apr 2001 B1
6242686 Kishimoto et al. Jun 2001 B1
6265288 Okamoto et al. Jul 2001 B1
6268233 Sano Jul 2001 B1
6274804 Psyk et al. Aug 2001 B1
6281426 Olson et al. Aug 2001 B1
6281561 Stiebig et al. Aug 2001 B1
6288325 Jansen et al. Sep 2001 B1
6297443 Nakajima et al. Oct 2001 B1
6307146 Takeuchi et al. Oct 2001 B1
6309906 Meier et al. Oct 2001 B1
6326304 Yoshimi et al. Dec 2001 B1
6337224 Okamoto et al. Jan 2002 B1
6368892 Arya Apr 2002 B1
6380480 Norimatsu et al. Apr 2002 B1
6399873 Sano et al. Jun 2002 B1
6444277 Law et al. Sep 2002 B1
6459034 Muramoto et al. Oct 2002 B2
6506622 Shiozaki Jan 2003 B1
6521826 Wada Feb 2003 B2
6566159 Sawada et al. May 2003 B2
6587263 Iacovangelo et al. Jul 2003 B1
6602606 Fujisawa et al. Aug 2003 B1
6632993 Hayashi et al. Oct 2003 B2
6634572 Burgener Oct 2003 B1
6645573 Higashikawa et al. Nov 2003 B2
6660931 Toyama et al. Dec 2003 B2
6670543 Lohmeyer et al. Dec 2003 B2
6750394 Yamamoto et al. Jun 2004 B2
6759645 Tawada et al. Jul 2004 B2
6777610 Yamada et al. Aug 2004 B2
6784361 Carlson et al. Aug 2004 B2
6793733 Janakiraman et al. Sep 2004 B2
6815788 Oka et al. Nov 2004 B2
6825104 Horzel et al. Nov 2004 B2
6825408 Nagano et al. Nov 2004 B2
6850991 Young et al. Feb 2005 B1
6887728 Miller et al. May 2005 B2
6960718 Sano et al. Nov 2005 B2
6963120 Shiozaki et al. Nov 2005 B2
6979589 Kishimoto et al. Dec 2005 B2
6989553 Yokogawa et al. Jan 2006 B2
7001460 Saito et al. Feb 2006 B2
7030313 Inamasu et al. Apr 2006 B2
7032536 Fukuoka et al. Apr 2006 B2
7064263 Sano et al. Jun 2006 B2
7071018 Mason et al. Jul 2006 B2
7074641 Kondo et al. Jul 2006 B2
7235810 Yamazaki et al. Jun 2007 B1
7238545 Yoshimi et al. Jul 2007 B2
7256140 Call et al. Aug 2007 B2
7301215 Kariya Nov 2007 B2
7309832 Friedman et al. Dec 2007 B2
7332226 Fujisawa, et al. Feb 2008 B2
7351993 Atanackovic Apr 2008 B2
7375378 Manivannan et al. May 2008 B2
7560750 Nira et al. Jul 2009 B2
7565880 Shimizu et al. Jul 2009 B2
20010035206 Inamasu et al. Nov 2001 A1
20010051388 Shiozaki et al. Dec 2001 A1
20010055888 Madan et al. Dec 2001 A1
20020033191 Kondo et al. Mar 2002 A1
20020066478 Hayashi et al. Jun 2002 A1
20030013280 Yamanaka Jan 2003 A1
20030041894 Sverdrup et al. Mar 2003 A1
20030044539 Oswald Mar 2003 A1
20030104664 Kondo et al. Jun 2003 A1
20030170402 Arai et al. Sep 2003 A1
20040045505 Higashikawa et al. Mar 2004 A1
20040082097 Lohmeyer et al. Apr 2004 A1
20040113287 Kishimoto et al. Jun 2004 A1
20040187914 Matsuda et al. Sep 2004 A1
20040231590 Ovshinsky Nov 2004 A1
20040235286 Kroll et al. Nov 2004 A1
20050101160 Garg et al. May 2005 A1
20050115504 Ueda et al. Jun 2005 A1
20050173704 Saito et al. Aug 2005 A1
20050181534 Yoshimi et al. Aug 2005 A1
20050189012 Kondo et al. Sep 2005 A1
20050251990 Choi et al. Nov 2005 A1
20050284517 Shinohara Dec 2005 A1
20060024442 Ovshinsky Feb 2006 A1
20060038182 Rogers et al. Feb 2006 A1
20060060138 Keller et al. Mar 2006 A1
20060134496 Won et al. Jun 2006 A1
20060169317 Sato et al. Aug 2006 A1
20060240649 Roschek et al. Oct 2006 A1
20060249196 Shima Nov 2006 A1
20060283496 Okamoto et al. Dec 2006 A1
20070000538 Shima Jan 2007 A1
20070039942 Leung et al. Feb 2007 A1
20070137698 Wanlass et al. Jun 2007 A1
20070151596 Nasuno et al. Jul 2007 A1
20070209699 Sichanugrist et al. Sep 2007 A1
20070227579 Buller et al. Oct 2007 A1
20070249898 Otawara Oct 2007 A1
20070298590 Choi et al. Dec 2007 A1
20080047599 Buller et al. Feb 2008 A1
20080047603 Krasnov Feb 2008 A1
20080057220 Bachrach et al. Mar 2008 A1
20080110491 Buller et al. May 2008 A1
20080153280 Li et al. Jun 2008 A1
20080160661 Henley Jul 2008 A1
20080188033 Choi et al. Aug 2008 A1
20080223440 Sheng et al. Sep 2008 A1
20080264480 Choi et al. Oct 2008 A1
20090104733 Chae et al. Apr 2009 A1
Foreign Referenced Citations (42)
Number Date Country
0589049 Jan 2000 EP
0 994 515 Apr 2000 EP
1420081 May 2004 EP
1 650 811 Apr 2006 EP
4063735 Feb 1992 JP
4068043 Mar 1992 JP
10294482 Apr 1998 JP
10294481 Nov 1998 JP
11087742 Mar 1999 JP
A H09-23 5915 Mar 1999 JP
1195795 Jul 1999 JP
11186574 Jul 1999 JP
11274535 Oct 1999 JP
2000101107 Apr 2000 JP
2000133827 May 2000 JP
2000243704 Sep 2000 JP
2000252216 Sep 2000 JP
2000252484 Sep 2000 JP
2000252496 Sep 2000 JP
2001093842 Apr 2001 JP
2001093843 Apr 2001 JP
2001168364 Jun 2001 JP
2001152347 Jun 2001 JP
2001155997 Jun 2001 JP
2001196310 Jul 2001 JP
2001223170 Aug 2001 JP
2001237189 Aug 2001 JP
2002270517 Sep 2002 JP
2004071716 Mar 2004 JP
2004296652 Oct 2004 JP
2005-135986 May 2005 JP
2006310694 Nov 2006 JP
2006-319068 Nov 2006 JP
2007035914 Feb 2007 JP
2007305826 Nov 2007 JP
2008181965 Aug 2008 JP
20060067919 Jun 2006 KR
WO 9526571 Oct 1995 WO
WO 03065462 Aug 2003 WO
WO-03096080 Nov 2003 WO
WO 2007118252 Oct 2007 WO
WO 2007 149945 Dec 2007 WO
Related Publications (1)
Number Date Country
20090142878 A1 Jun 2009 US
Provisional Applications (1)
Number Date Country
60985194 Nov 2007 US