PRECISE REAL TIME AND POSITION LOW PRESSURE CONTROL OF CHEMICAL MECHANICAL POLISH (CMP) HEAD

Abstract
A method and system for detecting and controller wafer surface pressure distribution. Detecting and controlling wafer surface pressure distribution comprises measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; and in response to the measured wafer uniformity controlling through a feedback loop in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer.
Description
BACKGROUND

The manufacture of ultra-large-scale integrated (ULSI) circuits and devices relies upon a precise, stable and predictable chemical mechanical polishing (CMP) process to achieve the vertical stacking, or integration, of a large number of circuits that require multilevel interconnections. CMP is well suited to the planarization of the wafer surface in preparation for further device fabrication. The CMP process includes retaining a semiconductor wafer against a rotating polishing pad or head surface wetted by a polishing slurry. In this manner, topographical steps on the surface of a wafer are polished flat so that the wafer surface is substantially planar.


As technology modalities continue to shrink to 22 nm and beyond, there is a very strict requirement for CMP uniformity to ensure successful device fabrication after CMP. Lower CMP head pressure is needed not only for improvement in CMP uniformity but also for increasing marginality of advanced integration design with ever decreasing scale. It is noted, however, that fluctuation of pressure control of the CMP head will limit the achievement of a low, stable CMP polishing pressure.





BRIEF DESCRIPTION OF THE DRAWINGS

The accompanying drawings provide visual representations which will be used to more fully describe various representative embodiments and can be used by those skilled in the art to better understand the representative embodiments disclosed and their inherent advantages. In these drawings, like reference numerals identify corresponding elements.



FIG. 1 is a graph that illustrates the relationship between resistivity, line width and pressure and a motivation for more precise, low pressure CMP polishing



FIGS. 2A and 2B illustrate cross-sectional views of CMP polishing, in accordance with various representative embodiments.



FIG. 3 illustrates graphs showing improved robot process control as a motivation for more precise, low pressure CMP polishing, in accordance with various embodiments.



FIGS. 4A-4F illustrates scanning electron microscope (SEM) studies that show the need for improved within wafer uniformity, in accordance with various embodiments.



FIG. 5 is a system block diagram of a CMP system suitable for polishing a wafer, in accordance with various embodiments.



FIGS. 6-9 are flowcharts that illustrate various CMP process flows, in accordance with various embodiments.



FIG. 10 is an illustration to demonstrate the use of a CMP profile to define CMP head pressure zones to get precise pressure control, in accordance with various embodiments.





DETAILED DESCRIPTION

As shown in the drawings for purposes of illustration, real-time wafer uniformity readings are feedback to control multiple in situ CMP head pressure applied and thus greatly reduce the fluctuation of the pressure control applied at multiple locations of the wafer during the CMP head polishing process. This not only makes low pressure control of CMP head possible, but also greatly improves intra-wafer and wafer-to-wafer uniformity. It also can increase the life of the consumable, such as polishing pad, of the CMP head due to the precise in situ control, thereby having a significant positive reduction on manufacturing costs.


Referring now to the line width, resistivity, pressure graph of FIG. 1, it can be seen that as circuit dimensions decrease, the measured resistivity for line widths of ground lines of increasing pressure, for example, increases exponentially. The line resistance at high pressures may be higher than the specification limit. It can therefore be seen that there is motivation to lower CMP head pressure as much as possible.


A second motivation for precise low pressure control on CMP heads is illustrated the cross-sectional views of FIGS. 2A and 2B. Whereas in FIG. 2A, a higher pressure of the CMP head can lead to over-polishing, it can be seen in FIG. 2B that the target thickness can be achieved with a controlled application of CMP head pressure without a thicker thickness of OMCTS than the target thickness, providing for a low aspect ratio before metallization. The high selectivity during low pressure CMP polishing allows for strict control of the polishing process such that excess amounts of OMCTS are not removed and the low-pressure polishing provides room for more design features. The low pressure CMP polishing process has selectivity between TEOS (Tetraethyl orthosilicate) and OMCTS (octamethylcyclotetrasiloxane). For example, the polishing slurry may have sufficiently high selectively between TEOS and OMCTS that is over 5(TE/OM).


Moreover, the precise real-time and position low pressure control of CMP heads provides more robot process control as illustrated in the graphs of FIG. 3.


Additionally, the stable process provided allows for improved uniformity from wafer lot to wafer lot and from wafer to wafer. As illustrated in FIGS. 4A to 4F, there is a need to improve within wafer uniformity as confirmed by the scanning electron microscope (SEM) studies shown in the Figures. FIG. 4A illustrates a SEM measurement method of a cross-sectional view of a wafer. It can be seen where pictures/measurements on the back end of line (BEOL) stack are to be taken. In FIG. 4B, an example of a post-hard mark deposition SEM measurement is shown. FIG. 4C illustrates an example of post reactive ion etch SEM measurement. FIG. 4D illustrates an example of post copper (Cu) plating SEM measurement while FIG. 4E illustrates an example of post Cu CMP SEM measurement of the wafer. The graph of FIG. 4F, in which edge thickness, SEM edge measurement position and edge ULK thickness after various processing steps are shown, illustrates the relative lack of uniformity achieved when the embodiments described herein are not used. These drawings illustrate the need to provide an improved infra-wafer uniformity.


Therefore, in accordance with various embodiments described herein, a method and system for detecting and controller wafer surface pressure distribution is disclosed. In accordance with certain embodiments described herein, a method of detecting and controlling wafer surface pressure distribution comprises measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; and in response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer. In accordance with further embodiments described herein, a method of detecting and controlling wafer surface pressure distribution comprises: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; determining from the measurements at the plurality of locations a uniformity wafer profile of the wafer; determining whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer; generating an updated uniformity wafer profile if the uniformity wafer profile does not approximate a target uniformity wafer profile of the wafer; and in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Still further in keeping with other embodiments, a method of detecting and controlling wafer surface pressure distribution comprises: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; adjusting in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer in response to the measured wafer uniformity; and polishing the wafer with the adjusted CMP head pressure at the plurality of locations.


Referring now to system block diagram 500 of FIG. 5, a chemical mechanical polish (CMP) system for precise real time and position low pressure control on a CMP head for polishing a wafer is illustrated. The CMP system has a pressure controller; a polishing head; and one or more pressure regulators coupled to the polishing head and controlled by the pressure controller. The CMP head may be made of high density, heavy material to stabilize the wafer during the application of high torque force during polishing A high purity ceramic material may be employed, for example.


A wafer or wafers to be polished reside on a pad as shown. The wafer is retained in place by operation of a retaining ring as shown. As shown, a number of pressure regulators, shown here as electrical pressure regulators (EPRs) operate to control the pressure applied by a CMP polishing head to various locations of the retaining ring and to various locations or zones of the wafer, as indicated by the downward arrows emanating from the EPRs. The various locations can comprise a number of surface locations of the wafer and the measured wafer uniformity is measured at the locations at the wafer surface of the wafer. The measured wafer uniformity may be the wafer thickness of the wafer at the plurality of locations of the wafer, the wafer film hardness of the wafer at the plurality of locations of the wafer, the wafer film surface charge (voltage) of the wafer at the plurality of locations of the wafer or the measured wafer uniformity is the pattern density of the wafer at the plurality of locations of the wafer.


The one or more EPRs correspond to various CMP head pressure zones of the system. Thus, EPR1 regulates zone pressure applied to various locations of the wafer while EPR3 regulates pressure applied to various locations of the retaining ring. EPR2 is another EPR that regulates the amount of pressure applied to various locations of the wafer. It can be seen that an in situ measurement g of the thickness of the wafer at various wafer locations may be taken at time i. The real-time in situ thickness measurement g may be taken by a Z-scan but can be any real-time thickness measurement system of thickness, such as Cu or ULK thickness; a Z-scan measures surface potential. This in situ thickness measurement g is a uniformity measurement that, together with time ti and position x), is used by a pressure controller of the system to determine a uniformity wafer profile from feedback data, said uniformity wafer profile denoted by Fi(g, ti, x). This feedback data is used to generate one or more updated pressure control signals x) to be applied at time ti+1 in accordance with an updated uniformity wafer profile. Thus, real-time, real position, pressure control feedback is provided to the CMP head. As shown, the EPRs used can be more than one and provide response to one or more in situ measurement components, such as time, position, thickness (copper Cu or ULK), surface charge (voltage). Also, as shown by the pressure arrows directed downward, the amount of pressure may be independently controlled at different locations of the wafer and retaining ring. It may be desired to apply more pressure at the edge of the wafer, indicated by the greater concentration of pressure arrows applied by EPR2 at the edge of the wafer. As previously discussed, edge uniformity is important to achieving intra-wafer uniformity. The pressure controller shown may reside on the CMP machine of which the CMP polishing head is part, may in fact be coupled to the CMP polishing head, or may reside elsewhere on the system but be coupled to the CMP polishing head.


Thus it can be seen that a measured wafer uniformity measured in situ of a wafer at a plurality of locations of the wafer at a first time is fedback in a feedback loop to the pressure controller and the pressure controller in response to the measured wafer uniformity controls in situ CMP head pressure applied at the plurality of locations of the wafer in real time by the plurality of pressure regulators to polish the wafer in situ at a second time subsequent the first time. The pressure controller determines from the measured wafer uniformity a uniformity wafer profile of the wafer at the first time and if the uniformity wafer profile of the wafer does not approximate a target uniformity wafer profile of the wafer the pressure controller controls the one or more pressure regulators in accordance with an updated uniformity wafer profile generated by comparing the uniformity wafer profile to the target uniformity wafer profile. Thus, the pressure controller controls in situ CMP head pressure applied by a plurality of CMP head pressure zones of the one or more pressure regulators corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Adjustment of the applied polishing pressure may be may continuously in response to feedback measurement data g taken by a scanner or detector and provided to the pressure controller, where it is used to adjust the polishing pressure exerted by control of one or more pressure regulators.


Consider that a Z-scan or the like measures a thickness of 250 Å at a position X but the target thickness at position X is 300A. The feedback data at X position would cause the amount of pressure applied at this position to be decreased via the appropriate EPR.


It is desirable to use as low a pressure as possible via the EPRs to control the wafer and retain ring pressure. This provides for more precise results that save wear and tear on consumables, such as the head polishing pad.


While electrical pressure regulators (EPRs) are illustrated in the CMP system of FIG. 5 for regulation of zone pressure control, it is envisioned that other regulators capable of regulating pressure control may be employed.


Referring now to flow 600 of FIG. 6, at Block 610, an in situ measurement g of the wafer thickness is taken; as discussed, this may be done at one or more locations of the wafer. At Block 620, this thickness feedback information is taken together with time and location to define a uniformity wafer profile Fi(g, ti, x) at time ti. The measured uniformity wafer profile is compared with a target uniformity wafer profile to see what adjustments need to be made to the pressure applied by the relevant EPR to the location to achieve the target uniformity at that location. This results in an updated uniformity wafer Pressure profile Pi(ti+i, x) being generated if the uniformity wafer profile does not approximate the target uniformity wafer profile of the wafer; application of the updated uniformity wafer profile Pi(ti+1, x) by the one or more EPRs controls the in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.


The thickness measurement g can then be taken again at Block 640 after the updated wafer uniformity profile is applied. At Decision Block 660, the inquiry is whether the target thickness defined at Block 650 has been reached. If so, then the CMP head polishing stops. If no, then the in situ thickness measurement g is again taken at Block 610 to which the flow returns.


As allowed for by the flowchart, the flow may start/continue at various points, such as with Block 610, or perhaps Block 650 is performed first. However, any of the actions indicated in the flow may be changed as/when desired without departing from the spirit and scope of the invention.


An example algorithm for the thickness profile to change to an updated P profile is now considered. Suppose that G is the wafer film thickness, then according to the Preston Equation, at real time ti+1 and position x, we apply pressure Pi(ti+1,x) as follows:







P
i(ti,x)
=AVG[(Th0(x)−Thi(x))/(ti−t0)(KpV)]ΔPi(ti,x)=(Thi(x)− Thi(x))/(ti−t0)(KpV)Pi(ti−1,x)= Pi(ti,x)Pi(ti,x)


Here, Kp is Preston's Constant, V is the wafer pad velocity, Thi(x) is the average film thickness measured at time ti, Th0(x) is the initial film thickness measured at time t0 and position x Th1(x) is the film thickness measured at time ti and position x, Pi(ti,x) is the average pressure at time ti for all positions, ΔPi(ti,x) is the individual delta pressure that needs to be added or removed from Pi(ti,x) to apply the pressure Pi(ti+1,x) at time ti+1 and position x. Suppose the wafer film target thickness is T, we can define the G target as T= Thi(x).


In accordance with certain embodiments described herein, flow 700 of FIG. 7 illustrates a method of detecting and controlling wafer surface pressure distribution. At Block 710, the in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer. The plurality of locations comprise a plurality of surface locations of the wafer as illustrated in FIG. 5. Thus, measuring the wafer uniformity comprises measuring wafer uniformity at the plurality of locations at a wafer surface of the wafer. Further, measuring the wafer uniformity comprises scanning surface uniformity of the wafer at the plurality of locations of the wafer. As discussed, this may comprise a Z-scanner scanning wafer thickness of the wafer at the plurality of locations of the wafer. Additionally, it may comprise a Z-scanner scanning wafer film hardness of the wafer at the plurality of locations of the wafer, or a Z-scanner scanning pattern density of the wafer at the plurality of locations of the wafer.


At Block 720, in response to the measured wafer uniformity measured at Block 710, the in situ CMP head pressure applied at the plurality of locations of the wafer is controlled in real time to polish the wafer. One or more pressure regulators of a scanning device can measure wafer uniformity of the wafer at the plurality of locations of the wafer. Controlling the CMP head pressure may comprise providing the measured wafer uniformity to a controller that controls in real time a plurality of CMP pressure regulators of the CMP head in response to the measured wafer uniformity. As previously illustrated controlling the in situ CMP head pressure applied comprises controlling an electrical pressure regulator or EPR. The electrical pressure regulator is of a CMP system as discussed. The electrical pressure regulator may comprise electrical pressure regulator elements corresponding to a plurality of CMP head pressure zones of a CMP system.


A further action after Blocks 710 and 720 may include polishing the surface of the wafer at the plurality of locations using the controlled CMP head pressure.


In accordance with further embodiments described herein, flow 800 of FIG. 8 illustrates a method of detecting and controlling wafer surface pressure distribution. At Block 810, in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer. At Block 820, a uniformity wafer profile of the wafer is determined from the measurements taken at the plurality of locations. At Block 830, it is determined whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer. In other words, is more polishing needed to achieve or approximate within a certain allowable range of deviation the target uniformity wafer profile. If yes, then at Block 840 an updated uniformity wafer profile is generated. Then, at Block 850, in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system. As previously illustrated, controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.


Still further in keeping with other embodiments, flow 900 of FIG. 9 illustrates a method of detecting and controlling wafer surface pressure distribution. At Block 910, in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer. At Block 920, in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer is adjusted in response to the measured wafer uniformity. Adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones may include controlling an electrical pressure regulator of a CMP system. Further, adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system. At Block 930, the wafer is polished in accordance with the adjusted CMP head pressure at the plurality of locations.



FIG. 10 is an illustration to demonstrate the use of a CMP profile to define CMP head pressure zones to get precise pressure control, in accordance with various embodiments. The correlation between edge thickness after different polishing steps with respect to various locations or zones of the wafer is shown. Using more pressure at the edge provides for precise low pressure control. It should be noted that in this particular example, only two EPRs are illustrated: one for precision polishing control of the retaining ring and only for applying low pressure in a precision way at an increased number of pressure locations or zones as one approaches the edge of the wafer. This is a useful in a practical way in that various CMP heads with different and various location zones can be made and used. Based upon the profile received from the scan, such as the Z-scan shown here, the best CMP head can be chosen to obtain the desired, precise low pressure control.


It can be seen that there are many advantages associated with use of the various embodiments described here. An in situ head pressure adjustment is given as the characteristics and effectiveness of the CMP pad, the consumable, changes. This can greatly improve the intra-wafer and wafer-to-wafer uniformity, which is turn improves production yields. Wafer thickness and uniformity is kept consistent regardless of the wear and tear of the CMP head and pad. This also increases the consumable life due to the precise in situ control, thereby greatly reducing manufacturing costs. The use of feedback between the Z-scan or other measurement mechanism, and the EPRs makes the CMP head low pressure control more precise and efficient. Pressure fluctuation factors are greatly reduced. Time and position of the points of application of pressure are known, fine tuning the process.


Using the drawings, the various embodiments of the present invention, including preferred embodiment(s) will now be explained. In the following detailed description and in the several figures of the drawings, like elements are identified with like reference numerals.


The representative embodiments, which have been described in detail herein, have been presented by way of example and not by way of limitation. It will be understood by those skilled in the art that various changes may be made in the form and details of the described embodiments resulting in equivalent embodiments that remain within the scope of the appended claims.

Claims
  • 1. A method of detecting and controlling wafer surface pressure distribution, comprising: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; andin response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer.
  • 2. The method of claim 1, further comprising polishing the surface of the wafer at the plurality of locations using the controlled CMP head pressure.
  • 3. The method of claim 1, wherein controlling the in situ CMP head pressure applied comprises controlling an electrical pressure regulator.
  • 4. The method of claim 3, wherein the electrical pressure regulator is of a CMP system.
  • 5. The method of claim 3, wherein the electrical pressure regulator comprises a plurality of electrical pressure regulator elements corresponding to a plurality of CMP head pressure zones of a CMP system.
  • 6. The method of claim 1, wherein the plurality of locations comprise a plurality of surface locations of the wafer.
  • 7. The method of claim 1, wherein measuring the wafer uniformity comprises measuring wafer uniformity at the plurality of locations at a wafer surface of the wafer.
  • 8. The method of claim 1, wherein measuring the wafer uniformity comprises scanning surface uniformity of the wafer at the plurality of locations of the wafer.
  • 9. The method of claim 8, further comprising a Z-scanner scanning wafer thickness of the wafer at the plurality of locations of the wafer.
  • 10. The method of claim 8, further comprising a Z-scanner scanning wafer film hardness of the wafer at the plurality of locations of the wafer.
  • 11. The method of claim 8, further comprising a Z-scanner scanning pattern density of the wafer at the plurality of locations of the wafer.
  • 12. The method of claim 1, wherein a plurality of pressure regulators of a scanning device measuring wafer uniformity of the wafer at the plurality of locations of the wafer.
  • 13. The method of claim 1, wherein controlling the CMP head pressure comprises providing the measured wafer uniformity to a controller that controls in real time a plurality of CMP pressure regulators of the CMP head in response to the measured wafer uniformity.
  • 14. The method of claim 1, further comprising in response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer by choosing a CMP head of a plurality of CMP heads having a desired low pressure profile.
  • 15. A method of detecting and controlling wafer surface pressure distribution, comprising: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer;determining from the measurements at the plurality of locations a uniformity wafer profile of the wafer;determining whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer;generating an updated uniformity wafer profile if the uniformity wafer profile does not approximate a target uniformity wafer profile of the wafer; andin accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.
  • 16. The method claim 15, wherein controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system.
  • 17. The method of claim 15, wherein controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
  • 18. The method of claim 15, further comprising in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer by choosing a CMP head of a plurality of CMP heads having a desired low pressure profile corresponding to the plurality of CMP head pressure zones.
  • 19. A method of detecting and controlling wafer surface pressure distribution, comprising: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer;adjusting in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer in response to the measured wafer uniformity; andpolishing the wafer with the adjusted CMP head pressure at the plurality of locations.
  • 20. The method claim 19, wherein adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system.
  • 21. The method of claim 20, wherein adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
  • 22. The method of claim 19, wherein polishing the wafer with the adjusted CMP head pressure further comprises choosing a CMP head of a plurality of CMP heads having a desired low pressure profile corresponding to the plurality of CMP head pressure zones.
  • 23. A chemical mechanical polish (CMP) system for polishing a wafer, comprising: a pressure controller;a polishing head;one or more pressure regulators coupled to the polishing head and controlled by the pressure controller;wherein a measured wafer uniformity measured in situ of a wafer at a plurality of locations of the wafer at a first time is feedback to the pressure controller and the pressure controller in response to the measured wafer uniformity controls in situ CMP head pressure applied at the plurality of locations of the wafer in real time by the plurality of pressure regulators to polish the wafer in situ at a second time subsequent the first time.
  • 24. The system of claim 23, wherein the one or more pressure regulators comprise one or more electrical pressure regulators (EPRs).
  • 25. The system of claim 23, wherein the one or more electrical pressure regulators correspond to a plurality of CMP head pressure zones of the system.
  • 26. The system of claim 23, wherein the plurality of locations comprise a plurality of surface locations of the wafer.
  • 27. The system of claim 23, wherein the measured wafer uniformity is the measured at the plurality of locations at a wafer surface of the wafer.
  • 28. The system of claim 27, wherein the measured wafer uniformity is the wafer thickness of the wafer at the plurality of locations of the wafer.
  • 29. The system of claim 27, wherein the measured wafer uniformity is the wafer film hardness of the wafer at the plurality of locations of the wafer.
  • 30. The system of claim 27, wherein the measured wafer uniformity is the pattern density of the wafer at the plurality of locations of the wafer.
  • 31. The system of claim 27, wherein the measured wafer uniformity is the wafer film surface charge of the wafer at the plurality of locations of the wafer.
  • 32. The system of claim 23, wherein the pressure controller determines from the measured wafer uniformity a uniformity wafer profile of the wafer at the first time and if the uniformity wafer profile of the wafer does not approximate a target uniformity wafer profile of the wafer the pressure controller controls the one or more pressure regulators in accordance with an updated uniformity wafer profile generated by comparing the uniformity wafer profile to the target uniformity wafer profile.
  • 33. The system of claim 32, wherein in accordance with the updated uniformity wafer profile, the pressure controller controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones of the one or more pressure regulators corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.