Removal of CMP residue from semiconductors using supercritical carbon dioxide process

Information

  • Patent Grant
  • 6277753
  • Patent Number
    6,277,753
  • Date Filed
    Tuesday, September 28, 1999
    24 years ago
  • Date Issued
    Tuesday, August 21, 2001
    22 years ago
Abstract
A method of removing Chemical Mechanical Polishing (CMP) residue from a semiconductor substrate is disclosed. The semiconductor substrate with the CMP residue on a surface is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a solvent are introduced into the pressure chamber. The supercritical carbon dioxide and the chemical are maintained in contact with the semiconductor substrate until the CMP residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented.
Description




FIELD OF THE INVENTION




The present invention relates to the field of removing Chemical Mechanical Polishing (CMP) residue from semiconductor wafers. More particularly, the present invention relates to the field of removing CMP residue from semiconductor wafers using supercritical carbon dioxide.




BACKGROUND OF THE INVENTION




Manufacture of semiconductor devices commonly employ a Chemical Mechanical Polishing (CMP) process to planarize a wafer surface. The CMP process removes top surface layers from a semiconductor wafer. The CMP process leaves a CMP residue of CMP chemicals and particles that is difficult and problematic to remove by current post-CMP cleaning methods. It is well known that the CMP residue predominantly remains in surface features on the wafer surface.




The current post-CMP cleaning methods require that the wafer surface be mechanically washed or brushed by a commercially available machine called a scrubber. The scrubber may employ heat or ultrasonic augmentation and typically requires immersion times of two to twenty minutes to achieve complete removal of the CMP residue from the wafer surface. Because the wafer surface is mechanically washed or brushed by the scrubber, the scrubber leaves defects or scratches in the wafer surface.




It is well known that, if some of the CMP residue remains in the surface features, performance of the semiconductor devices will be degraded. Additionally, it is well known that the cost of manufacturing a wafer of the semiconductor devices is proportional to the time employed for each processing step.




It would be advantageous to be able to remove the CMP residue without using the mechanical washing or brushing employed by the scrubber in order to reduce an amount of the defects and the scratches. Further, it would be advantageous to more effectively remove the CMP residue from the surface features on the wafer surface.




What is needed is a method of removing the CMP residue that does not use the mechanical washing or brushing.




What is further needed is a method of removing the CMP residue that is more effective than the mechanical washing or brushing in removing the CMP residue from the surface features.




What is additionally needed is a method of removing the CMP residue that is more efficient than the scrubber.




SUMMARY OF THE INVENTION




The present invention is a method of removing Chemical Mechanical Polishing (CMP) residue from a surface of a semiconductor substrate. The semiconductor substrate, including the CMP residue on the surface, is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a solvent are introduced into the pressure chamber. The supercritical carbon dioxide and the solvent are maintained in contact with the semiconductor substrate until the CMP residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented.











BRIEF DESCRIPTION OF THE DRAWINGS





FIG. 1

is a flow chart illustrating the steps of a method of the present invention.











DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT




The present invention utilizes high solvency and cleaning characteristics of supercritical carbon dioxide to assist in a post-CMP cleaning process. In the preferred embodiment, a small amount of a chemical, i.e., a solvent or a solvent mixture, is added to affect the post-CMP cleaning process as compared to the prior art. In the present invention, the supercritical carbon dioxide carries a small amount of the chemical to a wafer surface to be cleaned and is then recycled back to a carbon dioxide compressor for reuse.




The chemical is soluble or insoluble in carbon dioxide and is not damaging to semiconductor device materials. The high solvency and solubilizing ability of the supercritical carbon dioxide makes this method fast, safe, and very quick. High turbulence at wafer surface features in conjunction with hyper-efficient mass transport of a chemical co-solvent package can clean the wafer surface in less than five minutes. CMP residue of CMP chemicals and abrasive particles is effectively removed without direct mechanical contact, in contrast to current methods. Another advantage of the present invention is that the wafer surface contains fewer defects as compared to mechanical scrubber methods.




The solvency of supercritical carbon dioxide increases with pressure. Diffusivity and viscosity at or above a critical point of carbon dioxide remains similar to that of a gas phase. Because density above the critical point of the carbon dioxide is nearly equal to that of a liquid state, the supercritical carbon dioxide carries the chemical onto the wafer surface and cleans sub-micron surface features of a modern semiconductor device. In the present invention, the supercritical carbon dioxide also functions to carry away the CMP residue, including the CMP chemicals and abrasive particles, from the sub-micron surface features of the modem semiconductor device. Thus, a small amount of the chemical mixed with the supercritical carbon dioxide performs the post-CMP cleaning process and also cleans away any remaining unwanted chemicals and the CMP residue.




The preferred embodiment of the post-CMP cleaning process of the present invention is illustrated in FIG.


1


. The semiconductor wafer including the CMP residue is placed in a pressure chamber in a first process step


20


. The pressure chamber is then sealed and pressurized with the carbon dioxide, in a second process step


22


. As the pressure inside the pressure chamber builds, the carbon dioxide becomes liquid and then reaches supercritical temperature and pressure. Typical conditions for this process range from 20 to 70° C. and 1050 and 6000 psig. When the desired conditions are reached, a small amount of the chemical is introduced into a supercritical carbon dioxide stream and thus added into the pressure chamber to begin cleaning, in a third process step


24


. Typical types and amounts of chemicals are:




a. 0.1-15.0 v/v % of isopropyl alcohol and related alcohols;




b. 0.1-15.0 v/v % of propylene carbonate and related carbonates;




c. 0.1-15.0 v/v % of ethylene glycol and related glycols;




d. 0.001-5.0 v/v % of ozone;




e. 0.1-15.0 v/v % of hydrogen fluoride and related fluorides;




f. 0.1-15.0 v/v % of ammonium hydroxide and related hydroxides;




g. 0.1-15.0 v/v % of citric acid and related acids; and




h. 0.1-15.0 v/v % of a mixture of any of the above chemicals.




The chemical is preferably selected from a preferred group including the isopropyl alcohol, the propylene carbonate, the ethylene glycol, the ozone, the hydrogen fluoride, the ammonium hydroxide, and the citric acid, or a mixture thereof.




The chemical is alternatively selected from an alternative group including the alcohols related to the isopropyl alcohol, the carbonates related to the propylene carbonate, the glycols related to the ethylene glycol, the fluorides related to the hydrogen fluoride, the hydroxides related to the ammonium hydroxide, and the acids related to the citric acid, or a mixture selected from these chemicals and the preferred group.




The post-CMP cleaning process continues with recirculation of the supercritical carbon dioxide and with mixing, i.e., agitating, thereof inside the pressure chamber until the CMP residue is removed, typically from one-half to five minutes, in a fourth process step


26


. The pressure chamber is then flushed with pure supercritical carbon dioxide or liquid carbon dioxide to remove all traces of any remaining chemicals, in a fifth process step


28


. Finally, the chamber is vented to atmosphere and the wafer is removed, in a sixth process step


30


. At this point, an optional rinse in DI (deionized) or ultra pure water may be performed to finish the cleaning process.




The present invention uses the supercritical carbon dioxide in combination with the small amount of a chemical admixture to remove the CMP residue from the surfaces of the semiconductor devices in a post-CMP cleaning system. The post-CMP cleaning system includes a wafer process chamber, a pump, a sensor system, a pressure and flow regulating system, and a recovery chamber. The wafer process chamber holds the semiconductor wafer or semiconductor wafers. The pump is capable of compressing liquid carbon dioxide beyond the critical point. The sensor system measures temperature, pressure and flows. The pressure and flow regulating system connects a carbon dioxide source to the wafer chamber at the desired conditions. The recovery chamber collects solid and liquid material exhausted from the wafer chamber.




The post-CMP cleaning system preferably includes a temperature control system for heating the wafer process chamber.




The post-CMP cleaning system preferably includes a chemical introduction system for adding precise amounts of the chemical into the supercritical carbon dioxide process stream.




The post-CMP cleaning process of the present invention includes the following steps. The wafer is placed in the wafer process chamber. The post-CMP cleaning system is preferably purged with inert gas or the carbon dioxide. Alternatively, the post-CMP cleaning system is not purged. Next, the post-CMP cleaning system is pressurized with the carbon dioxide to achieve supercritical conditions. A desired amount of the chemical is added into the carbon dioxide, which forms chemical laden supercritical carbon dioxide. The chemical-laden supercritical carbon dioxide is contacted with the wafer. The wafer process chamber is preferably flushed using the supercritical carbon dioxide to remove contaminants. Alternatively, the wafer process chamber is flushed using the liquid carbon dioxide. The post CMP cleaning system is then depressurized to allow removal of the wafer.




The post-CMP cleaning process results in the wafer surface having a defect level that is much lower than current cleaning methods, which utilize mechanical contact of the wafer with roller or brush equipment.




The post-CMP cleaning process removes the CMP residue from semiconductors, bare silicon wafers, metallic covered wafers, and memory storage devices. It will be readily apparent to one of ordinary skill in the art that the post-CMP cleaning process removes the CMP residue from other substrates, including other semiconductor substrates, that have been polished or planarized in the CMP process.




It will be readily apparent to one skilled in the art that other various modifications may be made to the preferred embodiment without departing from the spirit and scope of the invention as defined by the appended claims.



Claims
  • 1. A method of removing a chemical mechanical polishing residue from a surface of a substrate comprising the steps of:a. placing the substrate, with the chemical mechanical polishing residue on the surface of the substrate, within a pressure chamber; b. pressurizing the pressure chamber; c. introducing supercritical carbon dioxide and a solvent into the pressure chamber; d. agitating the supercritical carbon dioxide and the solvent within the pressure chamber until the chemical mechanical polishing residue is removed from the semiconductor substrate; and e. flushing the pressure chamber.
  • 2. The method of claim 1 wherein the solvent is selected from the group consisting of isopropyl alcohol, propylene carbonate, ethylene glycol, ozone, hydrogen fluoride, ammonium hydroxide, citric acid, and a mixture thereof.
  • 3. The method of claim 1 wherein a volume ratio of the solvent to the supercritical carbon dioxide is within the range and including 0.001 and 15.0 percent.
  • 4. The method of claim 1 wherein the chemical mechanical polishing residue includes chemical mechanical polishing chemicals and chemical mechanical polishing abrasive particles.
  • 5. A method of removing a chemical mechanical polishing residue from sub-micron surface features of a semiconductor substrate comprising the steps of:a. placing the semiconductor substrate, with the chemical mechanical polishing residue on the sub-micron surface features of the semiconductor substrate, within a pressure chamber; b. pressurizing the pressure chamber; c. introducing supercritical carbon dioxide and a solvent into the pressure chamber; d. agitating the supercritical carbon dioxide and the solvent within the pressure chamber until the chemical mechanical polishing residue is removed from the sub-micron surface features; and e. flushing the pressure chamber.
  • 6. The method of claim 5 wherein the solvent is selected from the group consisting of isopropyl alcohol, propylene carbonate, ethylene glycol, ozone, hydrogen fluoride, ammonium hydroxide, citric acid, and a mixture thereof.
  • 7. The method of claim 6 wherein a volume ratio of the solvent to the supercritical carbon dioxide is within the range and including 0.001 and 15.0 percent.
  • 8. The method of claim 5 wherein the chemical mechanical polishing residue includes chemical mechanical polishing chemicals and chemical mechanical polishing abrasive particles.
  • 9. A method of removing a chemical mechanical polishing residue from a surface of a substrate comprising the steps of:a. introducing supercritical carbon dioxide and a solvent to the substrate with the chemical mechanical polishing residue on the surface of the substrate; and b. maintaining the supercritical carbon dioxide and the solvent in contact with the substrate until the chemical mechanical polishing residue is removed from the substrate.
  • 10. The method of claim 9 wherein the solvent is selected from the group consisting of isopropyl alcohol, propylene carbonate, ethylene glycol, ozone, hydrogen fluoride, ammonium hydroxide, citric acid, and a mixture thereof.
  • 11. The method of claim 9 wherein a volume ratio of the solvent to the supercritical carbon dioxide is within the range and including 0.001 and 15.0 percent.
  • 12. The method of claim 9 wherein the chemical mechanical polishing residue includes chemical mechanical polishing chemicals and chemical mechanical polishing abrasive particles.
  • 13. A method of removing a chemical mechanical polishing residue from sub-micron surface features of a semiconductor substrate comprising the steps of:a. introducing supercritical carbon dioxide and a solvent to the semiconductor substrate with the chemical mechanical polishing residue on the sub-micron surface features of the semiconductor substrate; b. maintaining the supercritical carbon dioxide and the solvent in contact with the semiconductor substrate until the chemical mechanical polishing residue is removed from the sub-micron surface features.
  • 14. The method of claim 13 wherein the solvent is selected from the group consisting of isopropyl alcohol, propylene carbonate, ethylene glycol, ozone, hydrogen fluoride, ammonium hydroxide, citric acid, and a mixture thereof.
  • 15. The method of claim 14 wherein a volume ratio of the solvent to the supercritical carbon dioxide is within the range and including 0.001 and 15.0 percent.
  • 16. The method of claim 13 wherein the chemical mechanical polishing residue includes chemical mechanical polishing chemicals and chemical mechanical polishing abrasive particles.
  • 17. An apparatus for removing a chemical mechanical polishing residue from sub-micron surface features of a semiconductor substrate comprising:a. a pressure chamber configured for receiving the semiconductor substrate with the chemical mechanical polishing residue on the sub-micron surface features of the semiconductor substrate; b. means for pressurizing the pressure chamber; c. means for introducing supercritical carbon dioxide and a solvent into the pressure chamber, the solvent being selected from the group consisting of isopropyl alcohol, propylene carbonate, ethylene glycol, ozone, hydrogen fluoride, ammonium hydroxide, citric acid, and a mixture thereof; d. means for agitating the supercritical carbon dioxide and the solvent within the pressure chamber until the chemical mechanical polishing residue is removed from the sub-micron surface features; and e. means for flushing the pressure chamber.
RELATED APPLICATIONS

This application claims priority from U.S. Provisional Application No. 60/101,988, filed on Sep. 28, 1998, which is hereby incorporated by reference.

US Referenced Citations (102)
Number Name Date Kind
2617719 Stewart Nov 1952
3890176 Bolon Jun 1975
3900551 Bardoncelli et al. Aug 1975
4029517 Rand Jun 1977
4091643 Zucchini May 1978
4341592 Shortes et al. Jul 1982
4474199 Blaudszun Oct 1984
4475993 Blander et al. Oct 1984
4601181 Privat Jul 1986
4693777 Hazano et al. Sep 1987
4749440 Blackwood et al. Jun 1988
4788043 Kagiyama et al. Nov 1988
4838476 Rahn Jun 1989
4865061 Fowler et al. Sep 1989
4879004 Oesch et al. Nov 1989
4923828 Gluck et al. May 1990
4924892 Kiba et al. May 1990
4944837 Nishikawa et al. Jul 1990
4960140 Ishijima et al. Oct 1990
4983223 Gessner Jan 1991
5011542 Weil Apr 1991
5013366 Jackson et al. May 1991
5068040 Jackson Nov 1991
5105556 Kurokawa et al. Apr 1992
5143103 Basso et al. Sep 1992
5158704 Fulton et al. Oct 1992
5174917 Monzyk Dec 1992
5185058 Cathey, Jr. Feb 1993
5185296 Morita et al. Feb 1993
5193560 Tanaka et al. Mar 1993
5213619 Jackson et al. May 1993
5215592 Jackson Jun 1993
5225173 Wai Jul 1993
5236602 Jackson Aug 1993
5237824 Pawliszyn Aug 1993
5261965 Moslehi Nov 1993
5266205 Fulton et al. Nov 1993
5267455 Dewees et al. Dec 1993
5274129 Natale et al. Dec 1993
5288333 Tanaka et al. Feb 1994
5290361 Hayashida et al. Mar 1994
5294261 McDermott et al. Mar 1994
5304515 Morita et al. Apr 1994
5306350 Hoy et al. Apr 1994
5313965 Palen May 1994
5316591 Chao et al. May 1994
5334332 Lee Aug 1994
5334493 Fujita et al. Aug 1994
5337446 Smith et al. Aug 1994
5352327 Witowski Oct 1994
5355901 Mielnik et al. Oct 1994
5356538 Wai et al. Oct 1994
5368171 Jackson Nov 1994
5370740 Chao et al. Dec 1994
5377705 Smith, Jr. et al. Jan 1995
5401322 Marshall Mar 1995
5403621 Jackson et al. Apr 1995
5417768 Smith, Jr. et al. May 1995
5456759 Stanford, Jr. et al. Oct 1995
5470393 Fukazawa Nov 1995
5482564 Douglas et al. Jan 1996
5494526 Paranjpe Feb 1996
5500081 Bergman Mar 1996
5501761 Evans et al. Mar 1996
5514220 Wetmore et al. May 1996
5522938 O'Brien Jun 1996
5526834 Mielnik et al. Jun 1996
5533538 Marshall Jul 1996
5547774 Gimzewski et al. Aug 1996
5550211 DeCrosta et al. Aug 1996
5580846 Hayashida et al. Dec 1996
5589105 DeSimone et al. Dec 1996
5632847 Ohno et al. May 1997
5635463 Muraoka Jun 1997
5637151 Schulz Jun 1997
5641887 Beckman et al. Jun 1997
5656097 Olesen et al. Aug 1997
5665527 Allen et al. Sep 1997
5679169 Gonzales et al. Oct 1997
5679171 Saga et al. Oct 1997
5683977 Jureller et al. Nov 1997
5688879 DeSimone Nov 1997
5700379 Biebl Dec 1997
5726211 Hedrick et al. Mar 1998
5739223 DeSimone Apr 1998
5783082 DeSimone et al. Jul 1998
5798438 Sawan et al. Aug 1998
5804607 Hedrick et al. Sep 1998
5868856 Douglas et al. Feb 1999
5868862 Douglas et al. Feb 1999
5872257 Beckman et al. Feb 1999
5873948 Kim Feb 1999
5881577 Sauer et al. Mar 1999
5893756 Berman et al. Apr 1999
5896870 Huynh et al. Apr 1999
5908510 McCullough et al. Jun 1999
5944996 DeSimone et al. Aug 1999
5976264 McCullough et al. Nov 1999
5980648 Adler Nov 1999
6017820 Ting et al. Jan 2000
6024801 Wallace et al. Feb 2000
6100198 Grieger et al. Aug 2000
Foreign Referenced Citations (18)
Number Date Country
0 283 740 A2 Sep 1988 EP
0 391 035 Oct 1990 EP
0 536 752 A2 Apr 1993 EP
0 572 913 A1 Dec 1993 EP
0587 168 A1 Mar 1994 EP
0 726 099 A2 Aug 1996 EP
60-192333 Sep 1985 JP
62-125619 Jun 1987 JP
1045131 Feb 1989 JP
2-148841 Jun 1990 JP
2-304941 Dec 1990 JP
7142333 Jun 1995 JP
727711 Feb 1996 JP
8222508 Aug 1996 JP
0 302 345 A2 Feb 1989 WO
0 370 233 A1 May 1990 WO
WO 9006189 Jun 1990 WO
WO 9013675 Nov 1990 WO
Non-Patent Literature Citations (52)
Entry
Basta, N., “Supercritical Fluids: Still Seeking Acceptance,” Chemical Engineering, vol. 92, No. 3, Feb. 24, 1985, p. 14.
Guan, Z. et al., “Fluorocarbon-Based Heterophase Polymeric Materials. 1. Block Copolymer Surfactants for Carbon Dioxide Applications,” Macromolecules, vol. 27, 1994, pp. 5527-5532.
International Journal of Environmentally Conscious Design & Manufacturing, vol. 2, No. 1, 1993, p. 83.
Journal of the American Ceramic Society, vol. 72, No. 6, pp. 872-874.
Ziger, D.H. et al., “Compressed Fluid Technology: Application to RIE Developed Resists,” AIChE Journal, vol. 33, No. 10, Oct. 1987, pp. 1585-1591.
Kirk-Othmer, “Encyclopedia of Chemical Terminology,” 3rd ed., Supplement vol., “Alcohol Fuels to Toxicology,” 1984, pp. 872-893.
“Cleaning with Supercritical CO2,” NASA Tech Briefs, MFS-29611, Marshall Space Flight Center, Alabama, Mar. 1979.
“Los Alomos National Laboratory,” Solid State Technology, pp. S10 & S14, Oct. 1998.
“Supercritical Carbon Dioxide Resist Remover, SCORR, the path to least photoresistance,” Los Alomos National Laboratry, 1998.
Takahashi, D., “Los Alomos Lab finds way to cut chip toxic waste,” Wall Street Journal, Jun. 22, 1998.
Supercritical CO2 process offers less mess from semiconductor plants, Chemical Engineering Magazine, pp. 27 & 29, Jul. 1998.
Sun, Y.P. et al., “Preparation of polymer-protected semiconductor nanoparticles through the rapid expansion of supercritical fluid solution,” Chemical Physics Letters, pp. 585-588, May 22, 1998.
Jackson, K. et al., “Surfactants and Microemulsions in Supercritical Fluids” in “Supercritical Fluid Cleaning,” Noyes Publications, Westwood, NJ, pp. 87-120, Spring 1998.
Kryszewski, M., “Production of Metal and Semiconductor Nanoparticles in Polymer Systems,” Polimery,, pp. 65-73, Feb. 1998.
Bakker, G.L. et al., “Surface Cleaning and Carbonaceous Film Removal Using High Pressure, High Temperature Water, and Water/CO2 Mixtures,” J. Eletrochem. Soc, vol. 145, No. 1, pp. 284-291, Jan. 1998.
Ober, C.K. et al., “Imaging polymers with supercritical carbon dioxide,” Advanced Materials, vol. 9, No. 13, pp. 1039-1043, Nov. 3, 1997.
Russick, E.M. et al., “Supercritical carbon dioxide extraction of solvent from micromachined structures,” Supercritical Fluids—Extraction and Pollution Prevention, ACS Symposium Series, vol. 670, pp. 255-269, Oct. 21, 1997.
Dahmen, N. et al., “Supercritical fluid extraction of grinding and metal cutting waste contaminated with oils,” Supercritical Fluids—Extraction and Pollution Prevention, ACS Symposium Series, vol. 670, pp. 270-279, Oct. 21, 1997.
Wai, C.M., “Supercritical fluid extraction: metals as complexes,” J. Chromatograhy A, vol. 785, PP 369-383, Oct. 17, 1997.
Xu, C. et al., Submicron-sized spherical yttrium oxide based phosphors prepared by supercritical CO2-assisted aerosolization and pyrolysis, Appl. Phys. Lett., vol. 71, No. 12, Sep. 22, 1997.
Tomioka, Y. et al., “Decomposition of tetramethylammonium (TMA) in a positive photoresist developer by supercritical water,” Abstracts of Papers 214th ACS Natl Meeting, American Chemical Society, Abstract No. 108, Sep. 7, 1997.
Klein, H. et al., “Cyclic organic carbonates serve as solvents and reactive diluents,” Coatings World, pp. 38-40, May 1997.
Buhler, J. et al., Liner array of complementary metal oxide semiconductor double-pass metal micromirrors, Opt. Eng., vol. 36, No. 5, pp. 1391-1398, May 1997.
Jo, M.H. et al., Evaluation of SiO2 aerogel thin film with ultra low dielectric constant as an intermetal dielectric, Microelectronic Engineering, vol. 33, pp. 343-348, Jan. 1997.
McClain, J.B. et al., “Design of nonionic surfactants for supercritical carbon dioxide,” Science, vol. 27, Dec. 20, 1996.
Znaidi, L. et al., “Batch and semi-continuous synthesis of magnesium oxide powders from hydrolysis and supercritical treatment of Mg(OCH3)2, ” Materials Research Bulletin, vol. 31, No. 12, pp. 1527-1535, Dec. 1996.
Tadros, M.E., “Synthesis of titanium dioxide particles in supercritical CO2, ” J. Supercritical Fluids, vol. 9, No. 3, pp. 172-176, Sep. 1996.
Courtecuisse, V.G. et al., “Kinetics of the titanium isopropoxide decomposition in supercritical isopropyl alcohol,” Ind. Eng. Chem. Res., vol. 35, No. 8, pp. 2539-2545, Aug. 1996.
Gabor, A. et al., “Block and random copolymer resists designed for 193 nm lithography and environmentally friendly supercritical CO2 development,” Dept. Mat. Sci. & Eng. Cornell Univ., SPIE, vol. 2724, pp. 410-417, Jun. 1996.
Schimek, G.L. et al., “Supercritical ammonia synthesis and characterization of four new alkali metal silver antimony sulfides . . . ,” J. Solid State Chemistry, vol. 123, pp. 277-284, May 1996.
Gallagher-Wetmore, P. et al., “Supercritical fluid processing: Opportunities for new resist materials and processes,” IBM research Division, SPIE, vol. 2725, pp. 289-299, Apr. 1996.
Papathomas, K.I. et al., “Debonding of photoresists by organic solvents,” J. Applied Polymer Science, vol. 59, pp. 2029-2037, Mar. 28, 1996.
Watkins, J.J. et al., “Polymer/metal nanocomposite synthesis in supercritical CO2, ” Chemistry of Materials, vol. 7, No. 11, Nov. 1995.
Gloyna, E.F. et al., “Supercritical water oxidation research and development update,” Environmental Progress, vol. 14, No. 3, pp. 182-192, Aug. 1995.
Gallagher-Wetmore, P. et al., Supercritical fluid processing: A new dry technique for photoresist developing, IBM Research Division, SPIE, vol. 2438, pp. 694-708, Jun. 1995.
Gabor, A.H. et al., “Silicon-containing block copolymer resist materials,” Microelectronics Technology—Polymers for Advanced Imaging and Packaging, ACS Symposium Series, vol. 614, pp. 281-298, Apr. 1995.
Tsiartas, P.C. et al., “Effect of molecular weight distribution on the dissolution properties of novolac blends,” SPIE, vol. 2438, pp. 261-271, 1995.
Allen, R.D. et al., “Performance properties of near-monodisperse novolak resins,” SPIE, vol. 2438, pp. 250-260, 1995.
Wood, P.T. et al., “Synthesis of new channeled structures in supercritical amines . . . ,” Inorg. Chem., vol. 33, pp. 1556-1558, 1994.
Jerome, J.E. et al., “Synthesis of new low-dimensional quaternary compounds . . . ,” Inorg. Chem., vol. 33, pp. 1733-1734, 1994.
McHardy, J. et al., “Progress in supercritical CO2 cleaning,” SAMPE Jour., vol. 29, No. 5, pp. 20-27, Sep. 1993.
Purtell, R. et al., “Precision parts cleaning using supercritical fluids,” J. Vac. Sci. Technol. A, vol. 11, No. 4, Jul. 1993.
Bok, E. et al., “Supercriticial fluids for single wafer cleaning,” Solid State Technology, pp. 117-120, Jun. 1992.
Adschiri, T. et al., “Rapid and continuous hydrothermal crystallization of metal oxide particles in supercritical water,” J. Am. Ceram. Soc., vol. 75, No. 4, pp. 1019-1022, 1992.
Hansen, B.N. et al., “Supercritical fluid transport—chemical deposition of films,” Chem. Mater., vol. 4, No. 4, pp. 749-752, 1992.
Page, S.H. et al., “Predictability and effect of phase behavior of CO2/propylene carbonate in supercritical fluid chromatography,” J. Microl. Sep. vol. 3, No. 4, pp. 355-369, 1991.
Brokamp, T. et al., “Synthese und Kristallstruktur eines gemischtvalenten Lithium—Tantalnirids Li2Ta3N5, ” J. Alloys and Compounds, vol. 176, pp. 47-60, 1991.
Hybertson, B.M. et al., “Deposition of palladium films by a novel supercritical fluid transport-chemical deposition process,” Mat. Res. Bull., vol. 26, pp. 1127-1133, 1991.
Ziger, D.H. et al., “Compressed fluid technology: Application to RIE-developed resists,” AIChE Jour., vol. 33, No. 10, pp. 1585-1591, Oct. 1987.
Matson, D.W. et al., “Rapid expansion of supercritical fluid solutions: Solute formation of powders, thin films, and fibers,” Ind. Eng. Chem. Res., vol. 26, No. 11, pp. 2298-2306, 1987.
Tolley, W.K. et al., “Stripping organics from metal and mineral surfaces using supercritical fluids,” Separation Science and Technology, vol. 22, pp. 1087-1101, 1987.
“Final report on the safety assessment of propylene carbonate,” J. American College of Toxicology, vol. 6, No. 1, pp. 23-51.
Provisional Applications (1)
Number Date Country
60/101988 Sep 1998 US