Selective deposition of noble metal thin films

Information

  • Patent Grant
  • 9469899
  • Patent Number
    9,469,899
  • Date Filed
    Tuesday, December 2, 2014
    9 years ago
  • Date Issued
    Tuesday, October 18, 2016
    7 years ago
Abstract
Processes are provided for selectively depositing thin films comprising one or more noble metals on a substrate by vapor deposition processes. In some embodiments, atomic layer deposition (ALD) processes are used to deposit a noble metal containing thin film on a high-k material, metal, metal nitride or other conductive metal compound while avoiding deposition on a lower k insulator such as silicon oxide. The ability to deposit on a first surface, such as a high-k material, while avoiding deposition on a second surface, such as a silicon oxide or silicon nitride surface, may be utilized, for example, in the formation of a gate electrode.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Conductive thin films can be selectively deposited by vapor deposition processes, such as by atomic layer deposition type processes. Such films find use, for example, in integrated circuits (IC) and magnetic recording media.


2. Description of the Related Art


Thin films of ruthenium and other noble metals can be used in a wide variety of applications including integrated circuits and magnetic recording media. For example, ruthenium may be used as an electrode material in transistors, particularly those where silicon oxide is replaced by high-k dielectrics. They can also be used as copper seed layers in metallization processes. Noble metals are advantageous because they tend not to oxidize or otherwise corrode.


Noble metal films can also be used for capacitor electrodes of dynamic random access memories (DRAMs). Noble metals are also a potential electrode material for nonvolatile ferroelectric memories.


In addition to electrode applications, thin noble metal films find potential use in magnetic recording technology. In anti-ferromagnetically coupled recording media, for example, a thin Ru film may be used for separating two ferromagnetic layers.


SUMMARY OF THE INVENTION

Thin films of noble metals can be selectively deposited using vapor deposition processes, such as atomic layer deposition (ALD). In some embodiments, a preferred ALD process comprises alternately contacting a first surface and a second surface of a substrate with a noble metal precursor and a second reactant, such that a thin noble metal film is selectively formed on the first surface relative to the second surface. The first surface may be, for example, a high-k material, a metal or a conductive metal compound, such as a metal nitride or metal oxide. The second surface preferably comprises a lower k insulator, such as a form of silicon oxide or silicon nitride. For example and without limitation, the second surface may comprise SiO2 or silicon oxynitride. The atomic layer deposition reactions are preferably carried out at a temperature less than about 400° C., more preferably less than about 350° C.


In some preferred embodiments, a gate electrode is formed by a method comprising depositing and patterning a gate dielectric layer and selectively depositing a noble metal such as ruthenium over the gate dielectric layer by a vapor phase deposition process, preferably an atomic layer deposition process.


In other preferred methods for forming a gate electrode on a silicon substrate, an interface layer is formed on the substrate. The interface layer may comprise, for example, silicon oxide or silicon nitride. A layer of high-k material is deposited over the interface layer and patterned. Ruthenium or another noble metal is selectively deposited over the high-k material by a vapor deposition process, more preferably an atomic layer deposition process.


ALD processes for depositing noble metal preferably comprise contacting the substrate with alternating and sequential pulses of a noble metal precursor, such as a ruthenium precursor, and a second reactant, such as an oxygen precursor. The noble metal precursor is preferably a cyclopentadienyl compound, more preferably an ethyl cyclopentadienyl compound, such as Ru(EtCp)2.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-6 are schematic cross-sections of partially fabricated integrated circuits, illustrating a process flow for the formation of a gate electrode utilizing a selective noble metal deposition process.



FIGS. 7-9 are schematic cross-sections of partially fabricated integrated circuits, illustrating another process flow for the formation of a gate electrode utilizing a selective noble metal deposition process.



FIGS. 10-15, 16A, 16B and 16C are schematic cross-sections of partially fabricated integrated circuits, illustrating a gate-last process flow for the formation of a gate electrode utilizing selective noble metal deposition.



FIGS. 17-23 are schematic cross-sections of partially fabricated integrated circuits, illustrating another gate-last process flow for the formation of a gate electrode utilizing selective noble metal deposition.





DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

Ruthenium thin films and thin films comprising other noble metals can be selectively deposited on a substrate by vapor phase deposition processes, such as atomic layer deposition (ALD) type processes. The substrate includes at least a first surface and a second surface, which differ in material composition and properties. The first surface is preferably susceptible to the vapor phase deposition process, such as an ALD process, used to form the desired noble metal layer while the second surface is substantially insensitive to the same deposition process. As a result, the noble metal is selectively deposited on the first surface relative to the second surface. In some embodiments deposition occurs on the first surface but not on the second surface. However, although the film is deposited selectively on the first surface, some deposition on the second surface is possible. Thus, in other embodiments deposition occurs to a greater extent on the first surface than the second surface in a given time.


At temperatures less than 450° C., noble metals are readily deposited on many high-k materials, metals, metal nitrides, and other conductive metal compounds from vapor phase reactants. For example, they can be deposited by ALD. However, they are not readily deposited on lower k materials, such as silicon oxides and silicon nitrides. Thus, in particular embodiments, a thin film containing noble metal is selectively deposited on a first surface comprising a high-k material while avoiding deposition on a second surface comprising a lower k insulator such as a silicon oxide, silicon nitride, silicon oxynitride, fluorinated silica glass (FSG), carbon doped silicon oxide (SiOC) or material containing more than 50% of silicon oxide. In other embodiments the thin film comprising one or more noble metals is selectively deposited on a first surface comprising a metal, metal nitride, metal carbide, metal boride, other conductive metal compound or mixtures thereof, while avoiding deposition on a second surface comprising an insulating material, such as a low k insulator In preferred embodiments an ALD type process is employed to selectively deposit the noble metal containing film.


“High-k” generally refers to a dielectric material having a dielectric constant (k) value greater than that of silicon oxide. Preferably, the high-k material has a dielectric constant greater than 5, more preferably greater than about 10. Exemplary high-k materials include, without limitation, HfO2, ZrO2, Al2O3, TiO2, Ta2O5, lanthanide oxides and mixtures thereof, silicates and materials such as YSZ (yttria-stabilized zirconia), BST, BT, ST, and SBT.


Metals, metal nitrides, metal carbides, metal borides, conductive oxides and other conductive metal compounds that can serve as substrate materials over which noble metals can be selectively deposited may include, for example and without limitation, selected from the group consisting of Ta, TaN, TaCx, TaBx, Ti, TiN, TiCx, TiBx, Nb, NbN, NbCx, NbBx Mo, MoN, MoCx, MoBx, W, WN, WCx, WBx, V, Cr, Fe, Cu, Co, Ni, Cd, Zn, Al, Ag, Au, Ru, RuOx, Rh, Pt, Pd, Ir, IrOx and Os.


While illustrated in the context of formation of a gate electrode by ALD, the skilled artisan will readily find application for the principles and advantages disclosed herein in other contexts, particularly where selective deposition is desired with high step coverage.


ALD type processes are based on controlled, self-limiting surface reactions of the precursor chemicals. Gas phase reactions are avoided by feeding the precursors alternately and sequentially into the reaction chamber. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant by-products from the reaction chamber between reactant pulses. This may be accomplished with an evacuation step and/or with an inactive gas pulse or purge.


Briefly, the substrate is loaded in a reaction chamber and is heated to a suitable deposition temperature, generally at lowered pressure. Deposition temperatures are maintained below the precursor thermal decomposition temperature but at a high enough level to avoid condensation of reactants and to provide the activation energy for the desired surface reactions. Of course, the appropriate temperature window for any given ALD reaction will depend upon the surface termination and reactant species involved. Here, the temperature is also maintained low enough to ensure the selectivity of the deposition process. Preferably, the temperature is below about 450° C., more preferably below about 350° C., as discussed in more detail below.


A first reactant is conducted into the chamber in the form of gas phase pulse and contacted with the surface of the substrate. Preferably the deposition process is self-limiting. For ALD embodiments, conditions are selected such that no more than about one monolayer of the precursor is adsorbed on the substrate surface in a self-limiting manner. Excess first reactant and reaction byproducts, if any, are purged from the reaction chamber, often with a pulse of inert gas such as nitrogen or argon.


For ALD embodiments, the second gaseous reactant is pulsed into the chamber where it reacts with the first reactant adsorbed to the surface. Excess second reactant and gaseous by-products of the surface reaction are purged out of the reaction chamber, preferably with the aid of an inert gas. The steps of pulsing and purging are repeated until a thin film of the desired thickness has been selectively formed on the substrate, with each cycle leaving no more than a molecular monolayer.


As mentioned above, each pulse or phase of each cycle is preferably self-limiting. An excess of reactant precursors is supplied in each phase to saturate the susceptible structure surfaces. Surface saturation ensures reactant occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus excellent step coverage.


According to a preferred embodiment, a noble metal thin film is selectively deposited on a first surface of a substrate relative to a second surface by an ALD type process comprising multiple pulsing cycles, each cycle comprising:

    • pulsing a vaporized noble metal precursor into the reaction chamber to form a molecular layer of the metal precursor on the first surface of the substrate,
    • purging the reaction chamber to remove excess noble metal precursor and reaction by products, if any,
    • providing a pulse of a second reactant, such as an oxygen, ozone, ammonia or ammonia plasma product containing gas onto the substrate,
    • purging the reaction chamber to remove excess second reactant and any gaseous by-products formed in the reaction between the metal precursor layer on the first surface of the substrate and the second reactant, and
    • repeating the pulsing and purging steps until a noble metal thin film of the desired thickness has been formed.


The noble metal thin film typically comprises multiple monolayers of a single noble metal. However, in other embodiments, the final metal structure may comprise noble metal compounds or alloys comprising two or more different noble metals. For example, the growth can be started with the deposition of platinum and ended with the deposition of ruthenium metal. Noble metals are preferably selected from the group consisting of Pt, Au, Ru, Rh, Ir, Pd and Ag.


The substrate can comprise various types of materials. When manufacturing integrated circuits, the substrate typically comprises a number of thin films with varying chemical and physical properties. In preferred embodiments, at least one surface of the substrate is insensitive to the vapor phase deposition reaction. Preferably, this surface comprises a form of silicon oxide or a silicon nitride, such as silicon oxynitride. At least one other surface of the substrate is sensitive to the deposition reaction and may be, for example and without limitation, a dielectric layer, such as aluminum oxide or hafnium oxide, a metal, such as Ta, or a metal nitride, such as TaN. Further, the substrate surface may have been patterned and may comprise structures such as nodes, vias and trenches.


Suitable noble metal precursors may be selected by the skilled artisan. In general, metal compounds where the metal is bound or coordinated to oxygen, nitrogen, carbon or a combination thereof are preferred. More preferably metallocene compounds, beta-diketonate compounds and acetamidinato compounds are used. In some embodiments a cyclopentadienyl precursor compound is used, preferably a bis(ethylcyclopentadienyl) compound.


When depositing ruthenium (Ru) thin films, preferred metal precursors may be selected from the group consisting of bis(cyclopentadienyl)ruthenium, tris(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium and tris(N,N′-diisopropylacetamidinato)ruthenium(III) and their derivatives, such as bis(N,N′-diisopropylacetamidinato)ruthenium(II) dicarbonyl, bis(ethylcyclopentadienyl)ruthenium, bis(pentamethylcyclopentadienyl)ruthenium and bis(2,2,6,6-tetramethyl-3,5-heptanedionato) (1,5-cyclooctadiene)ruthenium(II). In preferred embodiments, the precursor is bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp)2).


When depositing platinum films, preferred metal precursors include (trimethyl)methylcyclopentadienylplatinum(IV), platinum (II) acetylacetonato, bis(2,2,6,6-tetramethyl-3,5-heptanedionato)platinum(TI) and their derivatives.


As mentioned above, ALD processes for depositing noble metal containing films typically comprise alternating pulses of a noble metal precursor and an oxygen-containing reactant. The oxygen-containing reactant pulse may be provided, for example, by pulsing diatomic oxygen gas or a mixture of oxygen and another gas into the reaction chamber. In one embodiment, ammonia plasma products or ammonia is used as a second reactant. In other embodiments, oxygen is formed inside the reactor, such as by decomposing oxygen containing chemicals. Oxygen containing chemicals that can be decomposed in the reactor to produce oxygen include, without limitation, H2O2, N2O and organic peroxides. Mixtures of such chemicals can also be used. In other embodiments, the catalytic formation of an oxygen containing pulse can be provided by introducing into the reactor a pulse of vaporized aqueous solution of H2O2 and conducting the pulse over a catalytic surface inside the reactor and thereafter into the reaction chamber. The catalytic surface is preferably a piece of platinum or palladium.


In preferred embodiments the oxygen-containing reagent comprises free-oxygen or ozone, more preferably molecular oxygen. The oxygen-containing reagent is preferably pure molecular diatomic oxygen, but can also be a mixture of oxygen and inactive gas, for example, nitrogen or argon.


A preferred oxygen-containing reagent is air.


The noble metal precursor employed in the ALD type processes may be solid, liquid or gaseous material, provided that the metal precursor is in vapor phase before it is conducted into the reaction chamber and contacted with the substrate surface. “Pulsing” a vaporized precursor onto the substrate means that the precursor vapor is conducted into the chamber for a limited period of time. Typically, the pulsing time is from about 0.05 to 10 seconds. However, depending on the substrate type and its surface area, the pulsing time may be even higher than 10 seconds. Preferably, for a 300 mm wafer in a single wafer ALD reactor, the noble metal precursor is pulsed for from 0.05 to 10 seconds, more preferably for from 0.5 to 3 seconds and most preferably for about 0.5 to 1.0 seconds. The oxygen-containing precursor is preferably pulsed for from about 0.05 to 10 seconds, more preferably for from 1 to 5 seconds, most preferably about for from 2 to 3 seconds. Pulsing times can be on the order of minutes in some cases. The optimum pulsing time can be readily determined by the skilled artisan based on the particular circumstances.


The mass flow rate of the noble metal precursor can be determined by the skilled artisan. In one embodiment, for deposition on 300 mm wafers the flow rate of noble metal precursor is preferably between about 1 and 1000 sccm without limitation, more preferably between about 100 and 500 sccm. The mass flow rate of the noble metal precursor is usually lower than the mass flow rate of oxygen, which is usually between about 10 and 10000 sccm without limitation, more preferably between about 100-2000 sccm and most preferably between 100-1000 sccm.


Purging the reaction chamber means that gaseous precursors and/or gaseous byproducts formed in the reaction between the precursors are removed from the reaction chamber, such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical purging times are from about 0.05 to 20 seconds, more preferably between about 1 and 10, and still more preferably between about 1 and 2 seconds.


The pressure in the reaction space is typically between about 0.01 and 20 mbar, more preferably between about 1 and 10 mbar.


Before starting the deposition of the film, the substrate is typically heated to a suitable growth temperature. Preferably, the growth temperature of the metal thin film is between about 150° C. and about 450° C., more preferably between about 200° C. and about 400° C. The preferred deposition temperature may vary depending on a number of factors such as, and without limitation, the reactant precursors, the pressure, flow rate, the arrangement of the reactor, and the composition of the substrate including the nature of the material to be deposited on and the nature of the material on which deposition is to be avoided. The specific growth temperature may be selected by the skilled artisan using routine experimentation in view of the present disclosure to maximize the selectivity of the process.


The processing time depends on the thickness of the layer to be produced and the growth rate of the film. In ALD, the growth rate of a thin film is determined as thickness increase per one cycle. One cycle consists of the pulsing and purging steps of the precursors and the duration of one cycle is typically between about 0.2 and 30 seconds, more preferably between about 1 and 10 seconds, but it can be on order of minutes or more in some cases.


Examples of suitable reactors that may be used for the deposition of thin films according to the processes of the present invention include commercially available ALD equipment such as the F-120® reactor, Pulsar® reactor and EmerALD™ reactor, available from ASM America, Inc of Phoenix, Ariz. In addition to these ALD reactors, many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors, can be employed for carrying out the processes of the present invention. Preferably, reactants are kept separate until reaching the reaction chamber, such that shared lines for the precursors are minimized. However, other arrangements are possible, such as the use of a pre-reaction chamber as described in U.S. application Ser. No. 10/929,348, filed Aug. 30, 2004 and Ser. No. 09/836,674, filed Apr. 16, 2001, incorporated herein by reference.


The growth processes can optionally be carried out in a reactor or reaction space connected to a cluster tool. In a cluster tool, because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which clearly improves the throughput compared to a reactor in which is the substrate is heated up to the process temperature before each run.


Formation of Gate Electrodes Using Selective Deposition


The ability to deposit on a first surface, such as a high-k material, while avoiding deposition on a second surface, such as a silicon oxide or a silicon nitride surface, can be utilized in the formation of a gate electrode.


Several embodiments are illustrated in FIGS. 1 through 21. Other processes that take advantage of the ability to selectively deposit noble metals will be apparent to the skilled artisan.


In FIG. 1, a silicon substrate 10 is illustrated comprising a layer of native oxide 50. The native oxide 50 is removed by etching, leaving the bare substrate 10 as shown in FIG. 2. The surface of the substrate is then prepared for deposition of a high-k layer by ALD, such as by the deposition of a thin interfacial layer. For example, a thin chemical oxide or oxynitride may be formed on the surface. In other embodiments a thermal oxide is grown on the substrate. In one embodiment the thin interfacial layer is from about 2 to about 15 angstroms thick. FIG. 3 illustrates a thin layer interfacial layer 100 of Silicon oxide grown over the substrate 10.


A thin layer of high-k material 200 is subsequently deposited over the interfacial layer 100 to form the structure illustrated in FIG. 4. The high-k material 200 is then patterned such that it remains over the channel region 60 and not over the regions 70 that will become the source and drain, as illustrated in FIG. 5. Finally, a layer of Ru 300 is selectively deposited over the patterned high-k material 200 by a vapor deposition process, preferably ALD, and patterned (if necessary or desired) to form the structure illustrated in FIG. 6.


In some embodiments the Ru forms the gate electrode. In other embodiments (not shown) another conductive material, such as a metal or poly-Si, is deposited over the selectively deposited Ru. In some embodiments the additional conductive material is selectively deposited over the ruthenium to form a gate electrode. The additional conductive material may be patterned, if necessary or desired. Further processing steps, such as spacer deposition and source/drain implantation will be apparent to the skilled artisan.


Another process flow is illustrated in FIGS. 7-9. In FIG. 7, a layer of high-k material 200 is deposited over a silicon substrate 10 and patterned. The substrate may have been treated prior to deposition of the high-k material 200. For example, a layer of native oxide may have been removed and the surface treated to facilitate high-k deposition.


A layer of silicon oxide 100 is formed over the substrate 10 and covers the high-k material 200, as illustrated in FIG. 8. The silicon oxide layer 100 is planarized to expose the underlying high-k layer 200. A layer of ruthenium 300 is selectively deposited over the high-k material 200 to form the gate electrode structure shown in FIG. 9. In some embodiments the Ru layer forms the gate electrode, while in other embodiments a further conductive material may be deposited over the Ru and patterned, if necessary or desired, to form the gate electrode.


A gate-last approach is illustrated in FIGS. 10-15. FIG. 10 shows a silicon substrate 10 with a layer of native oxide 50. In FIG. 11, the native oxide 50 is removed by etching, leaving the bare silicon substrate 10. A silicon oxide or silicon nitride interface layer 100 with a thickness of about 2-15 Å is formed over the bare substrate 10 to produce the structure illustrated in FIG. 12. A high-k layer 200 is deposited, preferably by ALD, over the interface layer 100 to form the structure of FIG. 13. This is followed by deposition of a silicon oxide layer 400 (FIG. 14). The silicon oxide layer 400 is patterned to expose the underlying high-k layer 200 (FIG. 15). A layer of ruthenium or another noble metal 300 is subsequently deposited selectively over the exposed high-k layer 200 to form a gate electrode as illustrated in FIG. 16A. Further process steps, such as deposition of conductor or contact metals and patterning will be apparent to the skilled artisan.


It will be understood by the skilled artisan that the ruthenium layer 300 need not fill the space over the high-k layer 200. That is, in some embodiments the ruthenium layer 300 may not reach the upper surface of the silicon oxide layer 400 as illustrated in FIG. 16B. In a further step, a conductor 320 is deposited over the ruthenium layer 300 (FIG. 16C). The conductor is subsequently polished or otherwise etched back to form the gate electrode (not shown).


In another gate last approach a silicon substrate 10 covered with native oxide 50 is provided (FIG. 17). The native oxide 50 is optionally removed, followed by deposition of a layer of silicon oxide 100 over the substrate as shown in FIG. 18. The silicon oxide layer 100 is etched to form a trench and the exposed surface 25 (FIG. 19) is prepared for deposition of a high-k dielectric layer by pretreatment or deposition of an interfacial layer 120 as shown in FIG. 20. The interfacial layer 120 may comprise, for example, a thermally or chemically grown ultrathin silicon oxide or silicon nitride. A high-k layer 200 is then deposited by a vapor deposition process, preferably by an ALD process, over the entire structure (FIG. 21). The high-k material is removed from over the silicon oxide 100 to produce the structure illustrated in FIG. 22. This may be accomplished, for example, by filling the space over the interface layer 120 with a resist material, planarizing or otherwise etching back the resulting structure down to the top of the silicon oxide layer 100 and removing the resist material (not shown). Finally, a ruthenium layer 300 is selectively deposited over the high-k layer 200 by atomic layer deposition (FIG. 23).


In each of the illustrated embodiments, additional processing is performed to produce the desired integrated circuit, as will be apparent to the skilled artisan.


Because ruthenium selectively deposits on the high-k material and not on the silicon oxide or oxynitride, it is not necessary to mask the oxide prior to deposition of the gate electrode material in each of these process flows. However, if necessary noble metal deposition can be followed with a short wet etch or other clean up process to ensure removal of any small amount of noble metal or noble metal compound left on the low k insulator, such as if there is less than perfect selectivity. The process flows can also save valuable and expensive materials and, depending on the particular circumstances, can avoid the sometimes difficult etching of noble metals or noble metal compounds.


As mentioned above, the ruthenium may form the entire gate electrode. However, in some embodiments the gate electrode comprises a further conductive material such as a metal or poly-silicon that has been deposited on the ruthenium. The additional conductive material may be deposited by ALD or by another deposition process, such as by CVD or PVD. The deposition may be selective, or may be followed by patterning steps. Preferably, the high-k material is also deposited by an ALD process.


The high-k material preferably has a k value of greater than or equal to 5, more preferably greater than or equal to 10, and even more preferably greater than or equal to 20. Exemplary high-k materials include HfO2, ZrO2, Al2O3, TiO2, Ta2O5, Sc2O3, lanthanide oxides and mixtures thereof, and complex oxides such as silicates, yttria-stabilized zirconia (YSZ), barium strontium titanate (BST), strontium titanate (ST), strontium bismuth tantalate (SBT) and bismuth tantalate (BT).


The following non-limiting examples will illustrate the invention in more detail.


Example 1


Ruthenium thin films were deposited on 300 mm wafers with various materials formed thereover from alternating pulses of bis(ethylcyclopentadienyl)ruthenium (Ru(EtCp)2) and oxygen (O2) at a temperature of about 370° C.


The pulse length of the evaporated ruthenium precursor was about 0.7 seconds and was followed by a purge with an inert gas that lasted from about 2 seconds. The pulse length of the oxygen-containing reactant was about 2 seconds and the purge thereafter was about 2 seconds.


Ruthenium was found to grow using this process on TaN, Al2O3, Ta and HfO2 surfaces. The typical growth rate was about from 0.5 to 0.9 Å/cycle on these surfaces, not counting incubation time. The incubation time for Ru growth was found to be about 50-100 cycles on TaN, 50-100 cycles on Al2O3, about 50 cycles on Ta and virtually zero on HfO2.


However, even 450 cycles of the same Ru process did not produce a measurable and conductive film on a thermal silicon oxide surface produced by a wet oxide process.


Where deposition was observed, the rate was independent of the Ru(EtCp)2 dose, indicating that film growth proceeded in the self-limiting manner that is characteristic of ALD.


Although the foregoing invention has been described in terms of certain preferred embodiments, other embodiments will be apparent to those of ordinary skill in the art. Moreover, although illustrated in connection with particular process flows and structures, the skilled artisan will appreciate variations of such schemes for which the methods disclosed herein will have utility. Additionally, other combinations, omissions, substitutions and modification will be apparent to the skilled artisan, in view of the disclosure herein. Accordingly, the present invention is not intended to be limited by the recitation of the preferred embodiments, but is instead to be defined by reference to the appended claims.

Claims
  • 1. A method for selectively depositing a thin film comprising one or more noble metals on a substrate comprising a first surface and a second surface in a reaction space, the method comprising: contacting the first and second surface of the substrate with a gaseous noble metal precursor;contacting the first and second surface of the substrate with a second gaseous reactant; andrepeating until a thin film of a desired thickness is obtained selectively on the first surface relative to the second surface,wherein the first surface comprises a material selected from the group consisting of high-k materials, metals, metal nitrides, metal carbides, metal borides, conductive oxides and mixtures thereof; andwherein the second surface comprises a material selected from the group consisting of silicon oxides, silicon nitrides, silicon oxynitrides, fluorinated silica glass (FSG), carbon doped silicon oxide (SiOC) and materials containing more than about 50% silicon oxide and wherein the temperature is below about 400° C.
  • 2. The method of claim 1, additionally comprising removing excess gaseous noble metal precursor from the reaction chamber after contacting the substrate with the noble metal precursor and prior to contacting the substrate with the second gaseous reactant.
  • 3. The method of claim 1, wherein the thin film is not formed on the second surface.
  • 4. The method of claim 1, wherein the thin film that is deposited is thicker on the first surface than on the second surface.
  • 5. The method of claim 1, wherein the second surface is SiO2.
  • 6. The method of claim 1, wherein the second surface is silicon oxynitride.
  • 7. The method of claim 1, wherein the noble metal precursor comprises ruthenium.
  • 8. The method of claim 7, wherein the noble metal precursor is Ru(EtCp)2.
  • 9. The method of claim 7, wherein a ruthenium layer is deposited on the first surface of the substrate relative to the second surface.
  • 10. The method of claim 9, wherein a conductive layer is subsequently deposited on the ruthenium layer.
  • 11. The method of claim 1, wherein the first surface comprises a material selected from the group consisting of Ta, TaN, TaCx, TaBx, Ti, TiN, TiCx, TiBx, Nb, NbN, NbCx, NbBx Mo, MoN, MoCx, MoBx, W, WN, WCx, WBx, V, Cr, Fe, Cu, Co, Ni, Cd, Zn, Al, Ag, Au, Ru, RuOx, Rh, Pt, Pd, Ir, IrOx and Os.
  • 12. The method of claim 1, wherein the second gaseous reactant comprises oxygen.
  • 13. The method of claim 1, wherein the second gaseous reactant comprises ammonia.
  • 14. The method of claim 1, wherein the second reactant comprises ammonia plasma.
  • 15. The method of claim 1, wherein the substrate is alternately and sequentially contacted with the first and second gaseous reactants.
  • 16. The method of claim 1, wherein the method is an atomic layer deposition process.
  • 17. The method of claim 1, wherein the temperature is below about 350° C.
REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 13/188,087 filed Jul. 21, 2011, which is a continuation of U.S. application Ser. No. 12/649,817 filed Dec. 30, 2009 and issued as U.S. Pat. No. 7,985,669, which is a continuation of U.S. application Ser. No. 11/376,704, filed Mar. 14, 2006 and issued as U.S. Pat. No. 7,666,773, which claims priority to U.S. provisional application No. 60/662,144, filed Mar. 15, 2005 and to U.S. provisional application No. 60/662,145, filed Mar. 15, 2005, each of which is hereby incorporated by reference in its entirety.

US Referenced Citations (241)
Number Name Date Kind
4058430 Suntola et al. Nov 1977 A
4210608 Pinke Jul 1980 A
4477296 Nair Oct 1984 A
4604118 Bocko et al. Aug 1986 A
4670110 Withers et al. Jun 1987 A
4860687 Frijlink Aug 1989 A
4891050 Bowers et al. Jan 1990 A
4902551 Nakaso et al. Feb 1990 A
4965656 Koubuchi et al. Oct 1990 A
5106454 Allardyce et al. Apr 1992 A
5382333 Ando et al. Jan 1995 A
5391517 Gelatos et al. Feb 1995 A
5453494 Kirlin et al. Sep 1995 A
5637533 Choi Jun 1997 A
5695810 Dubin et al. Dec 1997 A
5711811 Suntola et al. Jan 1998 A
5731634 Matsuo et al. Mar 1998 A
5820664 Gardiner et al. Oct 1998 A
5865365 Nishikawa et al. Feb 1999 A
5874600 Rautenstrauch et al. Feb 1999 A
5884009 Okase Mar 1999 A
5916365 Sherman Jun 1999 A
5923056 Lee et al. Jul 1999 A
5939334 Nguyen et al. Aug 1999 A
5989672 Hayashi Nov 1999 A
5998048 Jin et al. Dec 1999 A
6006763 Mori et al. Dec 1999 A
6015986 Schuegraf Jan 2000 A
6033584 Ngo et al. Mar 2000 A
6040243 Li et al. Mar 2000 A
6063705 Vaartstra May 2000 A
6066892 Ding et al. May 2000 A
6074945 Vaartstra et al. Jun 2000 A
6108937 Raaijmakers Aug 2000 A
6124189 Watanabe et al. Sep 2000 A
6130123 Liang et al. Oct 2000 A
6133159 Vaartstra et al. Oct 2000 A
6136163 Cheung et al. Oct 2000 A
6139700 Kang et al. Oct 2000 A
6143658 Donnelly et al. Nov 2000 A
6144060 Park et al. Nov 2000 A
6171910 Hobbs et al. Jan 2001 B1
6203613 Gates et al. Mar 2001 B1
6268291 Andricacos et al. Jul 2001 B1
6270572 Kim et al. Aug 2001 B1
6281125 Vaartstra et al. Aug 2001 B1
6294467 Yokoyama et al. Sep 2001 B1
6297539 Ma et al. Oct 2001 B1
6303500 Jiang et al. Oct 2001 B1
6305314 Sneh et al. Oct 2001 B1
6306756 Hasunuma et al. Oct 2001 B1
6320213 Kirlin et al. Nov 2001 B1
6323131 Obeng et al. Nov 2001 B1
6335280 Van der Jeugd Jan 2002 B1
6342277 Sherman Jan 2002 B1
6346151 Jiang et al. Feb 2002 B1
6359159 Welch Mar 2002 B1
6380080 Visokay Apr 2002 B2
6391785 Satta et al. May 2002 B1
6395650 Callegari et al. May 2002 B1
6403414 Marsh Jun 2002 B2
6404191 Daughton et al. Jun 2002 B2
6420189 Lopatin Jul 2002 B1
6433432 Shimizu Aug 2002 B2
6444568 Sundararajan et al. Sep 2002 B1
6444868 Vaughn et al. Sep 2002 B1
6455424 McTeer et al. Sep 2002 B1
6462367 Marsh et al. Oct 2002 B2
6464779 Powell et al. Oct 2002 B1
6475276 Elers et al. Nov 2002 B1
6478931 Wadley et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6482740 Soininen et al. Nov 2002 B2
6511539 Raaijmakers Jan 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6541067 Marsh et al. Apr 2003 B1
6551399 Sneh et al. Apr 2003 B1
6576053 Kim et al. Jun 2003 B1
6580111 Kim et al. Jun 2003 B2
6586330 Ludviksson et al. Jul 2003 B1
6593656 Ahn et al. Jul 2003 B2
6617173 Sneh Sep 2003 B1
6649091 Ryan et al. Nov 2003 B2
6656748 Hall et al. Dec 2003 B2
6664192 Satta et al. Dec 2003 B2
6679951 Soininen et al. Jan 2004 B2
6680540 Nakano et al. Jan 2004 B2
6703708 Werkhoven et al. Mar 2004 B2
6713381 Barr et al. Mar 2004 B2
6720262 Koh et al. Apr 2004 B2
6759325 Raaijmakers et al. Jul 2004 B2
6777331 Nguyen Aug 2004 B2
6784101 Yu et al. Aug 2004 B1
6784504 Derderian et al. Aug 2004 B2
6800542 Kim Oct 2004 B2
6800567 Cho Oct 2004 B2
6824816 Aaltonen et al. Nov 2004 B2
6842740 Jeran et al. Jan 2005 B1
6849122 Fair Feb 2005 B1
6852635 Satta et al. Feb 2005 B2
6878628 Sophie et al. Apr 2005 B2
6881260 Marsh et al. Apr 2005 B2
6881437 Ivanov et al. Apr 2005 B2
6887795 Soininen et al. May 2005 B2
6921712 Soininen et al. Jul 2005 B2
6933225 Werkhoven et al. Aug 2005 B2
6936535 Kim et al. Aug 2005 B2
6955986 Li Oct 2005 B2
6984591 Buchanan et al. Jan 2006 B1
7011981 Kim et al. Mar 2006 B2
7067407 Kostamo et al. Jun 2006 B2
7105054 Lindfors Sep 2006 B2
7107998 Greer et al. Sep 2006 B2
7118779 Verghese et al. Oct 2006 B2
7135207 Min et al. Nov 2006 B2
7183604 Cartier Feb 2007 B2
7211509 Gopinath et al. May 2007 B1
7220451 Aaltonen et al. May 2007 B2
7220669 Hujanen et al. May 2007 B2
7241677 Soininen et al. Jul 2007 B2
7256144 Koyanagi et al. Aug 2007 B2
7273526 Shinriki et al. Sep 2007 B2
7273814 Matsuda Sep 2007 B2
7300873 Millward Nov 2007 B2
7404985 Chang et al. Jul 2008 B2
7419903 Haukka et al. Sep 2008 B2
7435484 Shinriki et al. Oct 2008 B2
7438949 Weidman Oct 2008 B2
7476618 Kilpela et al. Jan 2009 B2
7494927 Kostamo et al. Feb 2009 B2
7524766 Itatani et al. Apr 2009 B2
7541284 Park Jun 2009 B2
7601223 Lindfors et al. Oct 2009 B2
7615480 Boyd Nov 2009 B2
7655564 Shinriki Feb 2010 B2
7666773 Huotari Feb 2010 B2
7968437 Itatani et al. Jun 2011 B2
7985669 Huotari et al. Jul 2011 B2
8435905 Horii et al. May 2013 B2
8927403 Huotari Jan 2015 B2
20010003064 Ohto Jun 2001 A1
20010013617 Toyoda et al. Aug 2001 A1
20010018266 Jiang et al. Aug 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010052318 Jiang et al. Dec 2001 A1
20020004293 Soininen et al. Jan 2002 A1
20020006711 Yamazaki et al. Jan 2002 A1
20020013487 Norman et al. Jan 2002 A1
20020027286 Sundararajan et al. Mar 2002 A1
20020064948 Saito et al. May 2002 A1
20020102838 Parajpe et al. Aug 2002 A1
20020146513 Jin et al. Oct 2002 A1
20020173054 Kim Nov 2002 A1
20030013302 Nguyen Jan 2003 A1
20030059535 Luo et al. Mar 2003 A1
20030080363 Maruyama et al. May 2003 A1
20030088116 Kawano et al. May 2003 A1
20030100162 Joo May 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030135061 Norman et al. Jul 2003 A1
20030165615 Aaltonen Sep 2003 A1
20030214043 Saitoh et al. Nov 2003 A1
20030219991 Geusic et al. Nov 2003 A1
20030233976 Marsh et al. Dec 2003 A1
20040005753 Kostamo et al. Jan 2004 A1
20040009307 Koh et al. Jan 2004 A1
20040028952 Cartier et al. Feb 2004 A1
20040038529 Soininen et al. Feb 2004 A1
20040041194 Marsh Mar 2004 A1
20040053496 Choi Mar 2004 A1
20040082125 Hou et al. Apr 2004 A1
20040087143 Norman et al. May 2004 A1
20040095792 Herrmann et al. May 2004 A1
20040105934 Chang et al. Jun 2004 A1
20040118697 Wen et al. Jun 2004 A1
20040126944 Rotondaro et al. Jul 2004 A1
20040142558 Granneman Jul 2004 A1
20040152255 Seidl et al. Aug 2004 A1
20040192021 Li Sep 2004 A1
20040192036 Koyanagi et al. Sep 2004 A1
20040214354 Marsh et al. Oct 2004 A1
20040216668 Lindfors et al. Nov 2004 A1
20040224475 Lee et al. Nov 2004 A1
20050009325 Chung et al. Jan 2005 A1
20050020060 Aaltonen et al. Jan 2005 A1
20050048794 Brask et al. Mar 2005 A1
20050082587 Marsh Apr 2005 A1
20050085031 Lopatin et al. Apr 2005 A1
20050087879 Won et al. Apr 2005 A1
20050089632 Vehkamaki et al. Apr 2005 A1
20050092247 Schmidt et al. May 2005 A1
20050095781 Papa Rao et al. May 2005 A1
20050098440 Kailasam et al. May 2005 A1
20050118807 Kim et al. Jun 2005 A1
20050124154 Park et al. Jun 2005 A1
20050181555 Haukka et al. Aug 2005 A1
20050208754 Kostamo et al. Sep 2005 A1
20050229848 Shinriki et al. Oct 2005 A1
20050238808 Gatineau et al. Oct 2005 A1
20050266700 Jursich et al. Dec 2005 A1
20050271812 Myo et al. Dec 2005 A1
20060013955 Senzaki Jan 2006 A1
20060019495 Marcadal et al. Jan 2006 A1
20060035462 Millward Feb 2006 A1
20060063375 Sun et al. Mar 2006 A1
20060073276 Antonissen Apr 2006 A1
20060093848 Senkevich et al. May 2006 A1
20060118968 Johnston et al. Jun 2006 A1
20060121733 Kilpela et al. Jun 2006 A1
20060128150 Gandikota et al. Jun 2006 A1
20060137608 Choi et al. Jun 2006 A1
20060165892 Weidman Jul 2006 A1
20060177601 Park et al. Aug 2006 A1
20060211228 Matsuda Sep 2006 A1
20060216932 Kumar et al. Sep 2006 A1
20060223300 Simka et al. Oct 2006 A1
20060263977 Kim et al. Nov 2006 A1
20070014919 Hamalainen et al. Jan 2007 A1
20070026654 Huotari et al. Feb 2007 A1
20070036892 Haukka et al. Feb 2007 A1
20070059502 Wang et al. Mar 2007 A1
20070082132 Shinriki et al. Apr 2007 A1
20070190782 Park Aug 2007 A1
20080038465 Dussarrat Feb 2008 A1
20080054472 Shinriki et al. Mar 2008 A1
20080124484 Shinriki et al. May 2008 A1
20080146042 Kostamo et al. Jun 2008 A1
20080171436 Koh et al. Jul 2008 A1
20080200019 Huotari et al. Aug 2008 A9
20080206982 Suzuki Aug 2008 A1
20080206985 Kim et al. Aug 2008 A1
20080214003 Xia Sep 2008 A1
20080296768 Chebiam Dec 2008 A1
20080315418 Boyd Dec 2008 A1
20080318417 Shinriki et al. Dec 2008 A1
20090068832 Haukka et al. Mar 2009 A1
20090087339 Shinriki Apr 2009 A1
20090104777 Kim et al. Apr 2009 A1
20090155997 Shinriki Jun 2009 A1
20100099904 Dupau et al. Apr 2010 A1
Foreign Referenced Citations (33)
Number Date Country
41 08 73 Aug 1923 DE
10 2008 026 284 Dec 2009 DE
0 469 456 Jul 1991 EP
0 469 470 Feb 1992 EP
0 880 168 Nov 1998 EP
1 688 923 Aug 2006 EP
368850 Dec 1930 GB
1518243 Jul 1978 GB
2 340 508 Feb 2000 GB
1998-340994 Dec 1998 JP
2003-168738 Jun 2003 JP
10-2001-004717 Jan 2001 KR
10-2001-004718 Jan 2001 KR
10-2001-004719 Jan 2001 KR
10-2001-96408 Nov 2001 KR
10-2001-12889 Dec 2001 KR
10-2003-0011399 Feb 2003 KR
10-2005-0103373 Oct 2005 KR
WO 9310652 May 1993 WO
WO 9801890 Jan 1998 WO
WO 9917343 Apr 1999 WO
WO 0003420 Jan 2000 WO
WO 0038191 Jun 2000 WO
WO 0188972 May 2001 WO
WO 0150502 Jul 2001 WO
WO 0209126 Jan 2002 WO
WO 0209158 Jan 2002 WO
WO 03023835 Mar 2003 WO
WO 03040150 May 2003 WO
WO 03056612 Jul 2003 WO
WO 2004035858 Apr 2004 WO
WO 2006035281 Apr 2006 WO
WO 2009146870 Dec 2009 WO
Non-Patent Literature Citations (96)
Entry
U.S. Appl. No. 10/728,126, filed Dec. 3, 2003, Granneman.
U.S. Appl. No. 10/782,727, filed Feb. 18, 2004, Lindfors et al.
U.S. Appl. No. 11/254,071, filed Oct. 18, 2005, Kilpela et al.
U.S. Appl. No. 11/367,177, filed Mar. 3, 2006, Shinriki et al.
U.S. Appl. No. 11/469,828, filed Sep. 1, 2006, Shinriki et al.
U.S. Appl. No. 11/557,891, filed Nov. 8, 2006, Shinriki et al.
U.S. Appl. No. 11/955,275, filed Dec. 12, 2007, Shinriki.
U.S. Appl. No. 11/972,081, filed Jan. 10, 2008, Koh.
U.S. Appl. No. 12/129,345, filed May 29, 2008, Shinriki et al.
U.S. Appl. No. 12/201,434, filed Aug. 29, 2008, Shinriki et al.
U.S. Appl. No. 12/337,141, filed Dec. 17, 2008, Kim et al.
Hur'Yeva et al., “Ruthenium Films Deposited by Liquid-Delivery MOCVD using Bis(ethylcyclopentadienyl)ruthenium with Toulene as the Solvent”, Chemical Vapor Deposition, vol. 12, pp. 429-434, (2006).
Kadota et al., “Ruthenium Films Deposited under H2 by MOCVD using a Novel Liquid Precursor”, Interconnect Technology Conference 2009, IITC 2009, IEEE International, pp. 175-176, Jun. 2009.
Aaltonen et al., “ALD of Rhodium Thin Films from Rh (acac)3 and Oxygen,” Electrochem. Solid-State Lett. 8 (8): C99-C101 (2005).
Aaltonen et al., “Atomic Layer Deposition of Iridium Thin Films,” J. Electrochem. Soc. 151(8): G489-G492 (2004).
Aaltonen et al., “Atomic Layer Deposition of Noble Metal Thin Films,” dissertation presented at the University of Helsinki, Helsinki, Finland, 2005.
Aaltonen et al., “Atomic Layer Deposition of Noble Metals: Exploration of the Low Limit of the Deposition Temperature,” J. Mat. Res. Soc. 19 (11): 3353-3358 (2004).
Aaltonen et al., “Atomic Layer Deposition of Platinum Thin Films,” Chem. Mater. 15: 1924-1928 (2003).
Aaltonen et al. “Atomic Layer Deposition of Ruthenium Thin Films from Ru(thd)3 and Oxygen,” Chem. Vap. Deposition, 10, pp. 215-219, (2004).
Aaltonen et al. “Reaction Mechanism Studies on Atomic Layer Deposition of Ruthenium and Platinum,” Electrochem. Solid-State Lett., 6 (2003), C130-133.
Aaltonen et al., “Ruthenium Thim Film Grown by Atomic Layer Deposition”, Chem. Vap. Deposition, 9[1], 45, (2003).
Ackerman et al., “Identifying Tunneling in Ferromagnetic-Insulator-Ferromagnetic Thin Film Structures,” Journal of Magnetism and Magnetic Materials, vol. 240, Nos. 1-3, pp. 86-91, (2002).
Addison et al., “The Vapour Pressure of Anhydrous Copper Nitrate, and its Molecular Weight in the Vapour State,” J. Chem. Soc., pp. 3099-3106 (1958).
Aoyama et al., “Chemical Vapor Deposition of Ru and Its Application in (Ba, Sr) TiO3 Capacitors for Future Dynamic Random Access Memories,” Jpn. J. Appl. Phys. 38(43): 2194-2199 (1999).
Aoyama et al., “Ruthenium Films Prepared by Liquid Source Chemical Vapor Deposition Using Bis-(ethylcyclopentadienyl)ruthenium”, Jpn. J. Appl. Phys., vol. 38, pp. L 1134-L 1136 (1999).
Arnal et al., Materials and processes for high signal propagation performance and reliable 32 nm node BEOL., 1-4244-1069-X-07, 2007 IEEE, pp. 1-3.
Baklanov et al., “Characterization of Cu surface cleaning by hydrogen plasma,” Journal Vac. Sci. Technol 19(4): 1201-1211 (2001).
Baliga, J., “New Designs and Materials Tackle 1 Gb Memory Challenge,” Semiconductor International, World Wide Web address: semiconductor.net, Nov. 2000.
Basceri, C., “Electrial Dielectric Properties of (Ba,Sr) TiO3 Thin Film Capacitors for Ultra-High Density Dynamic Random Access Memories”, Thesis, 1997.
Bobo et al., Spin Dependent Tunneling Junctions with Hard Magnetic Layer Pinning, Journal of Applied Physics, vol. 83. No. 11, pp. 6685-6687, (1998).
Bursky, “Hit Up IEDM for Gigabit and Denser DRAMs and Merged Logic-Memory,” Electronic Design, World Wide Web address: planetee.com, Dec. 1, 1998.
Campbell et al., “Titanium dioxide (TiO2)-based gate insulators,” IBM J. Res. Develop., May 1999, pp. 383-392, vol. 43, No. 3.
Daughton, World Wide Web nve.com-otherbiz-mram2.pdf “Advanced MRAM Concepts,” p. 1-6, (Feb 7, 2001).
Fereday et al., “Anhydrous Cobalt (III) Nitrate,” Chemical Communications, p. 271 (1968).
Fukuzumi et al., “Liner-Supported Cylinder (LSC) Technology to Realize Ru—Ta2O5—Ru Capacitor for Future DRAMs,” IEEE, IED 2000, Session 34 (2000).
Fullerton et al., “Advanced Magnetic Recording Media for High-Density Data,” Solid State Technology 44(i9): 87 (2001).
Hones et al., “MOCVD of Thin Ruthenium Oxide Films: Properties and Growth Kinetics,” Chem. Vap. Deposition 6(4): 193-198 (2000).
Hoyas et al., Growth and characterization of atomic layer deposited WC0.7N0.3 on polymer films, Journal of Applied Physics, Jan. 1, 2004, vol. 95, Issue 1, pp. 381-388.
Hu et al., “In situ rapid thermal oxidation and reduction of copper thin films and their applications in ultralarge scale integration,” Journal of the Electrochemical Society 148(12): G669-G675 (2001).
Imai, Tajuki World Wide Web nikkeibp.asiabiztech.com-nea-200008-tech—108675.html, “100 Gbit-Inch HDD Just Around the Corner,” p. 1-6, (Aug 2000).
Inoue et al., “Low thermal-budget fabrication of sputtered-PZT capacitor on multilevel interconnects for embedded FeRAM,” IEEE, IED 2000, 2000, Session 34.
Integrated Circuit Engineering Corporation, Practical Integrated Circuit Fabrication Seminar (1998).
Jung et al., “A Novel Ir—IrO2—Pt—PZT—Pt—IrO2—Ir Capacitor for a Highly Reliable Mega-Scale FRAM,” IEEE, IED 2000, Session 34 (2000).
Kawaguchi, “MPEG1 Decoder LSI for video CD mPD61012,” NEC Device Technology International, New Products 5 No. 48, pp. 4-8 (Jan. 1998).
Kawamoto et al., “The Outlook for Semiconductor Processes and Manufacturing Technologies in the 0.1-μm Age,” Hitachi Review 48(6): 334-339 (1999).
Kwon et al., “Ruthenium Bottom Electrode Prepared by Electroplating for a High Density DRAM Capacitor,” J. Electrochem. Soc. 151(2): C127-C132 (2004).
Kwon et al., Plasma-enhance atomic layer deposition of RuTiN thin films for the applicaiton of copper diffusion barrier, ALD Conference, 2004.
Kwon, et al., “Plasma-enhanced Atomic Layer Deposition of Ruthenium Thin Films”, Electrochemical and Solid-State Letters, 7(4), C46-C48 (2004).
Lee et al., “Electroless CoWP boosts cooper reliability, device performance,” Semiconductor International, Jul. 1, 2004, 5 pages.
Namba et al., PEALD of Ru layer on WNC ALD barrier for Cu-porous low-k, Proceedings of Advanced Metallization Conference 2006, p. 39.
NEC Device Technology International, “Current state of leading edge ULSI process technology and future trends,” No. 48, pp. 4-8 (1998).
Nilsen et al. ,“Thin Film Deposition of Lanthanum Manganite Perovskite by the ALE process,” Journal of Materials Chemistry, vol. 9, 1781-1784, (1999).
Notice of Allowance for U.S. Appl. No. 11/254,071 sent Sep. 17, 2008.
Office Action dated Aug. 10, 2006, received in U.S. Appl. No. 10/394,430.
Office Action dated Aug. 20, 2003, received in U.S. Appl. No. 10/300,169.
Office Action dated Dec. 14, 2006, received in U.S. Appl. No. 10/394,430.
Office Action dated Dec. 24, 2003, received in U.S. Appl. No. 10/300,169.
Office Action dated Jun. 11, 2008, received in U.S. Appl. No. 11/179,791.
Office Action dated Sep. 13, 2007, received in U.S. Appl. No. 10/394,430.
Office Action for U.S. Appl. No. 11/182,734, filed Jul. 15, 2005, dated Aug. 21, 2008.
Office Action for U.S. Appl. No. 11/182,734, filed Jul. 15, 2005, dated Dec. 29, 2008.
Office action for U.S. Appl. No. 11/182,734, dated Mar. 17, 2009.
Office Action sent Nov. 15, 2007 for U.S. Appl. No. 11/254,071, filed Oct. 18, 2005.
Onda et al., “Hydrogen plasma cleaning a novel process for IC-packaging,” SEMICON WEST 97, Packaging Materials Conference, 1997, pp. D-1-D-10.
Pakrad, “Pure Tech: Growth of MR-GMR Head Materials,” World Wide Web, Puretechinc.com-tech—papers-tech—papers-4.htm, pp. 1-2, (1999).
Paranjpe et al., Atomic Layer Deposition of AlOx for thin Film Head Gap Applications, Journal of Electrochemical Society, V 148 (9), G465-G471.
Park et al., “Metallorganic Chemical Vapor Deposition of Ru and RuO2 using Ruthenocene Precursor and Oxygen Gas”, J. Electrochem. Soc., 147[1], p. 203, (2000).
Parsons et al., “Microcontact Patterning of Ruthenium Gate Electrodes by Selective Area,” North Carolina State university, presentation at AVS conference on Atomic Layer Deposition (ALD 2004), Helsinki, Finland, Aug. 16, 2004.
Ritala et al., “Atomic Layer Deposition,” Handbook of Thin Film Materials vol. 1: Deposition and Processing of Thin Films, chapter 2, pp. 103-159 (2002).
Rossnagel, “The latest on Ru-Cu interconnect technology,” Solid State Technology, Feb. 2005, Online, pp. 1-4.
Sakurai et al., “Adsorption of ruthenium tetroxide on metal surfaces,” J. Phys. Chem. American Chemical Society, vol. 89, pp. 1892-1896 (1985).
Satta et al., “The Removal of Copper Oxides by Ethyl Alcohol Monitored in Situ by Spectroscopic Ellipsometry,” Journal of the Electromechanical Society, 150 (5) , pp. 300-306 (2003).
Shao et al., An alternative low resistance MOL technology with electroplated rhodium as contact plugs for 32nm CMOS and beyond, 1-4244-1070-3-07, 2007 IEEE.
Singer, Peter, “Progress in Copper: A Look Ahead”, Semiconductor International, May 1, 2002.
SOI Technology: IMB's Next Advance in Chip Design, 1998.
Solanki et al., “Atomic Layer Deposition of Copper Seed Layers,” Electrochemical and Solid-State Letters 3(10): 479-480 (2000).
Sundani et al., “Oral Presentation of Dual Damascene Process,” slides, Nov. 19, 1998.
Suntola, “Atomic Layer Epitaxy,” Handbook of Crystal Growth, vol. 3, chapter 14, pp. 601-663 (1994).
Tung et al., Atomic layer deposition of noble metals: Exploration of the low limit of the deposition temperature, J Mater. Res., vol. 19, No. 11, p. 3353-3357 (Nov. 2004).
Ueno et al. Cleaning of CHF3 plasma-etched SiO2—SiN—Cu via structures using a hydrogen plasma, an oxygen plasma and hexafluoracetylacetone vapors, J. Vac. Sd. Technology B vol. 16, No. 6, pp. 2986-2995 (1998).
Utriainen et al., “Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M=Ni, Cu, Pt) precursors,” Applied Surface Science 157: 151-158 (2000).
Utriainen et al., “Studies of NiO thin film formation by atomic layer epitaxy,” Materials Science and Engineering B54: 98-103 (1998).
Wang, Shan X. “Advanced Materials for Extremely High Density Magnetic Recording Heads,” Department of Materials Science and Engineering, Department of Electrical Engineering, Stanford University, Stanford, CA 94305-4045, presentation.
Winbond News Release, “Successful development of capacitor technology for next generation memory,” World Wide Web address: winbond.com, Dec. 13, 2000.
Won et al., “Conformal CVD-ruthenium process for MIM capacitor in giga-bit DRAMs,” IEEE, IED 2000, Session 34 (2000).
World Wide web, magahaus.com-tech-westerndigital-shitepapers-gmr—wp.shtml, “GMR Head Technology: Increased Areal Density and Improved Performance Areal Density,” pp. 1-4, (Feb. 2000).
World Wide Web, pc.guide.com-ref-hdd-op-heads-techGMR-c.html, “Giant Magnetoresistive (GMR) Heads,” pp. 1-4.
World Wide web, semiconductor.net-semiconductor-issues-Issues-1998-feb98-docs-emerging.asp, “GMR Read-Write Heads Yield Data Storage Record,” pp. 1-2 (Feb. 1998).
World Wide Web, stoner.leeds.ac.uk-research-gmr.htm, “Giant Magnetoresistance,” pp. 1-6.
Xu et al., “A breakthrough in low-k barrier-etch stop films for copper damascene applications,” Semiconductor Fabtech, 2000, pp. 239-244, 11th Edition.
Yagishita et al., “Cleaning of Copper Surface Using Vapor-Phase Organic Acids,” MRS Proceedings, vol. 766, MRS Spring 2003 Meeting, Apr. 21-25, 2003, Symposium E, Session E3, Paper E3.28.
Yang et al., Physical, Electrical, and Relaiability Characterization of Ru for Cu Interconnects, 2006 International Interconnect Technology Conference, pp. 187-190, ISBN 1-4244-0103-8-06, 2006 IEEE.
Yoon et al., “Development of an RTA process for the enhanced crystallization of amorphous silicon thin film,” Electrochemical Society Proceedings 2000-9: 337-343 (2000).
Yoon et al., “Investigation of RuO2-incorporated Pt layer as a Bottom Electrode and Diffusion Barrier for High Epsilon Capacitor Applications,” Electrochemical and Solid-State Letters 3(8): 373-376 (2000).
Yoon et al., “Tantalum-ruthenium dioxide as a diffusion barrier between Pt bottom electrode and TiSi2 ohmic contact layer for high density capacitors,” Journal of Applied Physics 86(5): 2544-2549 (1999).
Yoon et al., 197th Meeting Program Information II, The Electrochemical Society, 197th Meeting—Toronto, Ontario, Canada, May 14-18, 2000, Program Information, I1-Rapid Thermal and Other Short-Time Processing Technologies I, Electronics Division-Dielectric Science and Technology Division-High Temperature Materials Division, 2000, Wednesday, May 17, 2000, New Applications of RTP, Co-Chairs: A. Fiory and D.-L Kwong, time 11:10 Abs#550, Title: Development of RTA Process for the Crystallization of a—Si Thin Film—Y.-G. Yoong, T.-K. Kim, K.-B. Kim J.-Y. Chio, B.-I. Lee, and S.-K. Joo (Seoul National Univ.).
Related Publications (1)
Number Date Country
20150315703 A1 Nov 2015 US
Provisional Applications (2)
Number Date Country
60662144 Mar 2005 US
60662145 Mar 2005 US
Continuations (3)
Number Date Country
Parent 13188087 Jul 2011 US
Child 14557874 US
Parent 12649817 Dec 2009 US
Child 13188087 US
Parent 11376704 Mar 2006 US
Child 12649817 US