Semiconductor device and method of forming epitaxial layer

Information

  • Patent Grant
  • 8927376
  • Patent Number
    8,927,376
  • Date Filed
    Thursday, April 24, 2014
    10 years ago
  • Date Issued
    Tuesday, January 6, 2015
    9 years ago
Abstract
A method for forming epitaxial layer is disclosed. The method includes the steps of providing a semiconductor substrate, and forming an undoped first epitaxial layer in the semiconductor substrate. Preferably, the semiconductor substrate includes at least a recess, the undoped first epitaxial layer has a lattice constant, a bottom thickness, and a side thickness, in which the lattice constant is different from a lattice constant of the semiconductor substrate and the bottom thickness is substantially larger than or equal to the side thickness.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly, to a semiconductor device having an epitaxial layer and the method of forming the epitaxial layer.


2. Description of the Prior Art


With the trend of miniaturization of semiconductor device dimensions, the scale of the gate, source and drain of a transistor has dropped in accordance with the reduction of the critical dimension (CD). Due to the physical limitation of the materials used, the decrease of the gate, source and drain scale results in the diminution of the number of carriers that determine the magnitude of the current in the transistor element, which can adversely affect the performance of the transistor. Accordingly, in order to boost up a metal-oxide-semiconductor (MOS) transistor, increasing carrier mobility is an important consideration in the field of current semiconductor technique.


In the conventional technologies, a selective epitaxial growth (SEG) process is used to form a strained silicon layer. For example, after the formation of the gate, a silicon-germanium (SiGe) layer is formed in the predetermined location of the source/drain region, in which the lattice constant of silicon (Si) is 5.431 angstroms (A), and the lattice constant of germanium (Ge) is 5.646 A. The lattice constant of the SiGe layer is larger than the lattice constant of Si, which modifies the band structure of Si, and leads to the formation of a compressive strained silicon layer. The strained silicon layer induces stress in the channel region of PMOS transistor and enhances carrier mobility.


In order to meet the various requirements of consumers, electronic products are commonly constituted of various kinds of element regions, having different functions. In accordance with the demands of specifications and characteristics, each element region has a specific pattern density. To avoid a process deviation caused by the micro-loading effect, the semiconductor processes, such as the selective epitaxial growth process, may be respectively performed on the corresponding regions according to the pattern density. However, this approach affects the manufacturing costs and extends the manufacturing time. Therefore, establishing a semiconductor process simultaneously applicable to all of the element regions having individual pattern densities, without micro-loading effect, is an important issue in this field.


SUMMARY OF THE INVENTION

It is therefore one of the objectives of the present invention to provide a semiconductor device having epitaxial layers, and a method of forming epitaxial layer avoiding the process deviations caused by the micro-loading effect.


According to an exemplary embodiment of the present invention, the method of forming an epitaxial layer includes the following steps. First, a semiconductor substrate including at least a recess is provided. Then, a first selective epitaxial growth (SEG) process is performed to form a first epitaxial layer in the recess, in which the first selective epitaxial growth process has an operating pressure substantially smaller than or equal to 10 torr.


According to an exemplary embodiment of the present invention, the method of forming an epitaxial layer includes the following steps. First, a semiconductor substrate including at least a recess is provided. Then, a first selective epitaxial growth (SEG) process is performed to form a first epitaxial layer in the recess, in which gases including dichlorosilane (DCS), Germane (GeH4) and hydrochloric acid (HCl) are introduced, and the ratio of the concentration for DCS:GeH4:HCl may be (0.5-2.1):(1.5-3.3):1.


According to an exemplary embodiment of the present invention, a semiconductor device is provided. The semiconductor device includes a semiconductor substrate and a plurality of transistors. The semiconductor substrate includes at least an iso region (namely an open region) and at least a dense region. The transistors are respectively disposed in the iso region and the dense region, and each transistor includes at least a source/drain region. Furthermore, each of the source/drain regions includes a first epitaxial layer, the first epitaxial layer has a bottom thickness and a side thickness, with the bottom thickness larger than the side thickness, for each first epitaxial layer.


The present invention provides a selective epitaxial growth process having an operating pressure smaller than or equal to 10 torr for forming the first epitaxial layer. This step can be further performed on the semiconductor substrate in a plurality of regions having different pattern densities to form simultaneously the first epitaxial layers in the recesses, with each first epitaxial layer having the above mentioned specific structure characteristic, that is, its bottom thickness being larger than its side thickness. Accordingly, the process deviation due to the micro-loading effect may be eliminated, and the reliability of the semiconductor device can be improved.


According to another aspect of the present invention, a method for forming epitaxial layer is disclosed. The method includes the steps of providing a semiconductor substrate, and forming an undoped first epitaxial layer in the semiconductor substrate. Preferably, the semiconductor substrate includes at least a recess, the undoped first epitaxial layer has a lattice constant, a bottom thickness, and a side thickness, in which the lattice constant is different from a lattice constant of the semiconductor substrate and the bottom thickness is substantially larger than or equal to the side thickness.


These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 through FIG. 6 illustrate a method of forming an epitaxial layer according to the first exemplary embodiment of the present invention.



FIG. 7 through FIG. 9 illustrate a method of forming an epitaxial layer according to the second exemplary embodiment of the present invention.



FIG. 10 illustrates a semiconductor device according to an exemplary embodiment of the present invention.





DETAILED DESCRIPTION

To provide a better understanding of the present invention, preferred exemplary embodiments will be described in detail. The preferred exemplary embodiments of the present invention are illustrated in the accompanying drawings with numbered elements.


Please refer to FIG. 1 through FIG. 6. FIG. 1 through FIG. 6 illustrate a method of forming an epitaxial layer according to the first exemplary embodiment of the present invention. As shown in FIG. 1, a semiconductor substrate 10 is provided, and the semiconductor substrate 10 includes at least a recess 12. A plurality of regions (not shown) could be defined on the semiconductor substrate 10, and each of the regions has its own pattern density. To simplify the explanation, the formation of a transistor in a region having any pattern density is used as an example. The semiconductor substrate 10 could be a substrate composed of AsGa, silicon on insulator (SOI) layer, epitaxial layer, SiGe layer or other semiconductor material. The semiconductor substrate 10 may further include at least a gate structure 14 and at least a shallow trench isolation (STI) 16, and the recess 12 is located in the active region between the gate structure 14 and the STI 16. The gate structure 14 includes a gate dielectric layer 18, a gate conductive layer 20 disposed on the gate dielectric layer 18, and a cap layer 22 disposed on the gate conductive layer 20. The gate dielectric layer 18 could be made of insulating materials such as silicon oxide, silicon oxynitride formed through thermal oxidation process or deposition process, or other high-k gate dielectric layers with a dielectric constant larger than 4. The gate conductive layer 20 may include conductive materials such as polysilicon, or metal layer with specific work function. The cap layer 22 can be made of insulating materials such as silicon nitride, silicon oxide, or silicon oxynitride. The STI 16 may include dielectric material such as silicon oxide. As the gate structure processes and the STI processes are known to those skilled in the art, the details are omitted herein for brevity.


The method of forming the recess 12 includes the following steps. At first, a first spacer 24 is selectively formed on the sides of each gate structure 14. Subsequently, an anisotropic dry etching process is performed to form the recesses 12 in the semiconductor substrate 10 at each of the two sides of the gate structure 14, in which the formed gate structure 14 and the formed first spacer 24 are used as masks. Additionally, it is also possible to combine a dry etching process with a wet etching process to form the recesses 12 in various shapes such as barrel shape, hexagon, or polygon, therefore, greater stress could be induced and provided to the later formed channel region by the epitaxial layers in the recesses 12. The first spacer 24 may include a monolayered structure or a multilayered structure made of silicon oxide or silicon nitride, moreover, the first spacer 24 could be a disposable spacer, that is, the first spacer 24 could be partially or totally removed after a later selective epitaxial growth process, but not limited thereto.


To form the epitaxial layer having high quality in the recess 12, before further epitaxial growth processes, a pre-clean step is first performed. For example, clean solution such as dilute hydrofluoric acid (DHF) solution, or a SPM solution including sulfuric acid (H2SO4), hydrogen peroxide (H2O2) and deionized water (DI water), is used to remove impurities such as native oxide layer upon the surface of the recess 12. Besides, a pre-bake step could also be implemented; for instance, the semiconductor substrate 10 is heated in a chamber with hydrogen introduced into to remove the native oxide layer upon the surface of the recess 12 or the residual clean solution.


As shown in FIG. 2, a first selective epitaxial growth (SEG) process is performed to form a first epitaxial layer 26 in the recess 12. In this exemplary embodiment, the first selective epitaxial growth process has an operating pressure substantially smaller than or equal to 10 torr. For instance, gases comprising dichlorosilane (DCS), Germane (GeH4) and hydrochloric acid (HCl) are introduced into the chamber with the operating pressure substantially smaller than or equal to 10 torr to form the first epitaxial layer 26 in the recess 12. The first epitaxial layer 26 includes a first material such as silicon-germanium (SiGe), with a lattice constant of the first material different from a lattice constant of the semiconductor substrate 10. DCS is the gas source of silicon, GeH4 is the gas source of germanium, and a first germanium concentration of the first material may be determined by the concentration ratio of DCS and the concentration ratio of GeH4. Preferably, the concentration ratio of DCS is substantially smaller than the concentration ratio of GeH4. Additionally, HCl is used for the selective formation of the first epitaxial layer 26. Accordingly, the first epitaxial layer 26 could be formed on the silicon substrate of the surface of the recess 12, instead of being formed on the STI 16 or the first spacer 24, made of silicon oxide or silicon nitride. Preferably, a concentration ratio of HCl is substantially between the concentration ratio of DCS and the concentration ratio of GeH4. In the introduced gases for forming the first epitaxial layer 26, the ratio of the concentration for DCS:GeH4:HCl may be (0.5-2.1):(1.5-3.3):1 to form the first epitaxial layer 26 having the first germanium concentration between 20% and 30%. In this exemplary embodiment, the ratio of the concentration of DCS, the concentration of GeH4 and the concentration of HCl may preferably be 0.97:2.2:1 to form the first epitaxial layer 26 having the first germanium concentration at 25%, but not limited thereto.


It is appreciated that the first epitaxial layer 26 has a bottom thickness t1 and a side thickness t2, and the bottom thickness t1 of the first epitaxial layer 26 is substantially smaller than a depth hl of the recess 12. In other words, the recess 12 is not totally filled with the first epitaxial layer 26. Moreover, the bottom thickness t1 of the first epitaxial layer 26 is substantially larger than or equal to the side thickness t2 of the first epitaxial layer 26, and a ratio of the bottom thickness t1 to the side thickness t2 is substantially larger than or equal to 1, that is, the thickness of the first epitaxial layer 26 formed on the bottom surface of the recess 12 is substantially larger than or equal to the thickness of the first epitaxial layer 26 formed on the side surfaces of the recess 12. In this exemplary embodiment, the ratio of the bottom thickness t1 to the side thickness t2 is preferably and substantially larger than or equal to 1.4.


As shown in FIG. 3, a second selective epitaxial growth process is subsequently performed to form a second epitaxial layer 28 on the first epitaxial layer 26. In this exemplary embodiment, the second selective epitaxial growth process has an operating pressure substantially between 1 torr and 10 torr. For instance, gases including DCS, GeH4 and HCl are introduced into the same chamber in which the first selective epitaxial growth process has been previously performed, to form the second epitaxial layer 28 on the first epitaxial layer 26. The second epitaxial layer 28 includes a second material such as silicon-germanium (SiGe), and a lattice constant of the second material which is also different from the lattice constant of the semiconductor substrate 10. Additionally, a second germanium concentration of the second material of the second epitaxial layer 28 is substantially larger than the first germanium concentration of the first material of the first epitaxial layer 26, for instance, the second epitaxial layer 28 has the second germanium concentration at 36%. The second epitaxial layer 28 is further used for inducing stress to the channel region 29 under the gate structure 14.


As shown in FIG. 4, the second selective epitaxial growth process could be an in-situ doped epitaxial growth process. Accordingly, during the formation of the second epitaxial layer 28, the conductive dopants may be simultaneously doped into the second epitaxial layer 28 to form a doped source/drain region 30. In this exemplary embodiment, the second selective epitaxial growth process may be in-situ doped epitaxial growth process. For instance, if the later formed transistor is PMOS transistor, during the formation of the second epitaxial layer 28, the conductive dopants such as boron ions may be simultaneously implanted into the second epitaxial layer 28 to serve as the corresponding doped source/drain region 30. Furthermore, an optional annealing process could be performed to activate the doped source/drain region 30.


It is appreciated that the first epitaxial layer 26 and the second epitaxial layer 28 are preferably made of the same material such as silicon-germanium (SiGe) but having different composition ratios. For instance, the second germanium concentration of the second epitaxial layer 28 is substantially larger than the first germanium concentration of the first epitaxial layer 26. Additionally, the second epitaxial layer 28 includes conductive dopants, such as boron ions, while the first epitaxial layer 26 does not include any. In order to avoid the abnormal leakage of the later formed transistor, the first epitaxial layer 26 is disposed between the second epitaxial layer 28 and the semiconductor substrate 10 to prevent the diffusion of the conductive dopants from the second epitaxial layer 28 to the semiconductor substrate 10 through the dislocations between the epitaxial layers and the semiconductor substrate 10. Moreover, the first selective epitaxial growth process of this exemplary embodiment has its operating pressure substantially smaller than or equal to 10 torr, compared to the first selective epitaxial growth process of another exemplary embodiment having its operating pressure substantially larger than or equal to 50 torr, a volume of the second epitaxial layer 28 on the first epitaxial layer 26 of this exemplary embodiment is substantially larger than a volume of the second epitaxial layer 28 on the first epitaxial layer 26 of another exemplary embodiment. That is, the second epitaxial layer 28 of this exemplary embodiment can induce greater stress to the channel region 29. Moreover, the second epitaxial layer 28 could be disposed above, at the same level, or below the surface of the semiconductor substrate 10.


As shown in FIG. 5, a third selective epitaxial growth process is performed to form a third epitaxial layer 36 on the second epitaxial layer 28. In this exemplary embodiment, the third selective epitaxial growth process has an operating pressure substantially between 1 torr and 10 torr. For instance, the gas source of germanium, such as GeH4, is closed, and the gas source of silicon such as DCS is introduced into the same chamber in which the first selective epitaxial growth process and the second selective epitaxial growth process have been previously performed to form the third epitaxial layer 36 on the second epitaxial layer 28.


As shown in FIG. 6, after the end of the third selective epitaxial growth process, the first spacer 24 could be partially or totally removed, and a second spacer 34 is further formed. The second spacer 34 may be a monolayered structure or multilayered structure, may include a liner, or be a composition thereof. The material of the second spacer 34 could be high temperature oxide (HTO), silicon nitride, silicon oxide, or HCD-SiN formed by hexachlorodisilane (Si2Cl6), but not limited thereto. In this exemplary embodiment, the second spacer 34 does not overlap the third epitaxial layer 36, but it is not limited, the second spacer 34 may cross the third epitaxial layer 36, in other words, the second spacer 34 may partially overlap the third epitaxial layer 36. Subsequently, a self-aligned silicide process is performed to the third epitaxial layer 36. Accordingly, a transistor 32 is completed. A material of the third epitaxial layer 36 may include silicon (Si); consequently, the third epitaxial layer 36 can cover the defects on the surface of the second epitaxial layer 28 and ensure the proper formation of the salicide layer on the third epitaxial layer 36.


The order of the doped source/drain region process and the selective epitaxial growth processes could be adjusted according to the requirements of the transistor design. For instance, in an exemplary embodiment, the gate structure 14 and the second spacer 34 may serve as masks, and an ion implantation process and an annealing process are performed on the second epitaxial layer 28 and the third epitaxial layer 36 to form the doped source/drain region 30. In the other exemplary embodiment, an ion implantation process is performed on the semiconductor substrate 10 before the formation of the recess 12, and then the illustrated selective epitaxial growth processes are performed to build the doped source/drain region 30.


The present invention could be applicable for non-planar transistor as well. Please refer to FIG. 7 through FIG. 9. FIG. 7 through FIG. 9 illustrate a method of forming an epitaxial layer according to the second exemplary embodiment of the present invention. As shown in FIG. 7, the semiconductor substrate 10 including at least a fin structure 38 is provided. The semiconductor substrate 10 includes a plurality of fin structures 38 and shallow trench isolations (STI) 16. The fin structures 38 may include AsGa, silicon on insulator (SOI) layer, epitaxial layer, SiGe layer or other semiconductor material. STI 16 may be filled with insulating materials and disposed between the fin structures 38, or made of the bottom oxide layer of the silicon on insulator (SOI) substrate.


Subsequently, the gate structure 14 is formed to partially cover the fin structures 38, and the first spacer 24 is selectively formed on the sides of the gate structure 14, in which the elongation direction of the gate structure 14 crosses the elongation direction of the fin structures 38. As shown in FIG. 8, a dry etching process, such as an isotropic etching process, is performed to remove a portion of the fin structures 38, wherein the mask could be a patterned photoresist layer (not shown) or the gate structure 14 and the first spacer 24. Accordingly, recesses 12 are formed in the fin structures 38 at each of the two sides of the gate structure 14. Then, as shown in FIG. 9, the first selective epitaxial growth process, the second selective epitaxial growth process and the third selective epitaxial growth process illustrated previously are performed orderly; accordingly, the first epitaxial layer 26, the second epitaxial layer 28 and the third epitaxial layer 36 illustrated are formed in the recesses 12. Wherein the first selective epitaxial growth process has an operating pressure substantially smaller than or equal to 10 torr, as to the first epitaxial layer 26, the bottom thickness t1 is substantially larger than or equal to the side thickness t2. Additionally, an ion implantation process could be further performed to make the second epitaxial layer 28 include the doped source/drain region 30.


Please refer to FIG. 10. FIG. 10 illustrates a semiconductor device according to an exemplary embodiment of the present invention. As shown in FIG. 10, a semiconductor substrate 10 is provided, the semiconductor substrate 10 includes at least an iso region (namely an open region) 42 and at least a dense region 44, and a plurality of transistors 46/48 are respectively disposed in the iso region 42 and the dense region 44. Each transistor 46/48 includes at least a gate structure 50/52 and at least a source/drain region 54/56, and each of the source/drain regions 54/56 is disposed in the semiconductor substrate 10 at each of the two sides of the gate structure 50/52. In this exemplary embodiment, the widths of the source/drain region 54/56 are the same, but not limited thereto, the width of the source/drain region 54 could be substantially larger or smaller than the width of the source/drain region 56. Additionally, the present invention is also applicable for a plurality of source/drain regions having the different widths but being disposed in the same region having its individual pattern density, for instance, the present invention is applicable for a plurality of source/drain regions 54 having the different widths which are disposed in the same iso region 42. Each gate structure 50/52 includes a gate dielectric layer 18, a gate conductive layer 20 disposed on the gate dielectric layer 18, and a cap layer 22 disposed on the gate conductive layer 20. The gate dielectric layer 18 could be made of insulating materials such as silicon oxide, silicon oxynitride formed through thermal oxidation process or deposition process, or other high-k gate dielectric layer with a dielectric constant larger than 4. The gate conductive layer 20 may include conductive materials such as polysilicon, or metal layer with specific work function. The selectively formed cap layer 22 can be made of insulating materials such as silicon nitride, silicon oxide, or silicon oxynitride. Each of the source/drain regions 54/56 includes a first epitaxial layer 26, a second epitaxial layer 28 and a third epitaxial layer 36 as illustrated previously. The second epitaxial layer 28 may include the corresponding conductive dopants to serve as a doped source/drain region. The distribution density of the source/drain region 54 in the iso region 42 is substantially smaller than the distribution density of the source/drain region 56 in the dense region 44.


In this exemplary embodiment, the first selective epitaxial growth process has an operating pressure substantially smaller than or equal to 10 torr; accordingly, the first epitaxial layer 26 may have a bottom thickness t3/t5 and a side thickness t4/t6 in the iso region 42 and in the dense region 44, and the bottom thickness t3/t5 is substantially larger than or equal to the corresponding side thickness t4/t6 for each first epitaxial layer 26 in the respective region. In other words, a ratio of the bottom thickness t3/t5 to the side thickness t4/t6, that is, t3/t4 in the iso region 42 and t5/t6 in the dense region 44, are all substantially larger than or equal to 1. Furthermore, the ratio of the bottom thickness t3/t5 to the side thickness t4/t6 is preferably and substantially larger than or equal to 1.4. The second epitaxial layer 28 is disposed on the first epitaxial layer 26. The first epitaxial layer 26 includes a first material, while the second epitaxial layer 28 includes a second material, with a lattice constant of the first material and a lattice constant of the second material that are different from a lattice constant of the semiconductor substrate 10. The first material and the second material may include silicon-germanium (SiGe), and a first germanium concentration of the first material is substantially smaller than a second germanium concentration of the second material. The third epitaxial layer 36 is disposed on the second epitaxial layer 28, and the third epitaxial layer 36 may be made of silicon.


It is appreciated that the second epitaxial layer 28 includes conductive dopants such as p-type dopants or n-type dopants corresponding to the type of the transistors 46/48, while the first epitaxial layer 26 preferably excludes any conductive dopants. For instance, to build a PMOS, the second epitaxial layer 28 may contain boron ions, that is, parts of the second epitaxial layer 28 can serve as doped source/drain region. In order to avoid the abnormal leakage of the transistors 46/48, the first epitaxial layer 26 is disposed between the second epitaxial layer 28 and the semiconductor substrate 10 to prevent the diffusion of the conductive dopants from the second epitaxial layer 28 to the semiconductor substrate 10 through the dislocations between the epitaxial layers and the semiconductor substrate 10. The operating pressure of the first selective epitaxial growth process is substantially smaller than or equal to 10 torr; consequently, even if the iso region 42 and the dense region 44 have different distribution densities of the source/drain regions 54/56, in other words, the interval between the source/drain regions 54 in the iso region 42 is different form the interval between the source/drain regions 56 in the dense region 44, the first epitaxial layer 26 can still have the bottom thickness t3/t5 substantially larger than or equal to the side thickness t4/t6. Therefore, the problem of the bottom thickness of the first epitaxial layer 26 being substantially smaller than the side thickness of the first epitaxial layer 26 in the iso region 42 can be solved, and the first epitaxial layer 26 may have a better barrier function without micro-loading effect.


In conclusion, the present invention provides a selective epitaxial growth process having an operating pressure smaller than or equal to 10 torr to form the first epitaxial layer in the recess. This approach can be further performed in a plurality of regions having different pattern densities in the semiconductor substrate for simultaneously forming the first epitaxial layers in the recesses; each of the first epitaxial layers still has specific structure characteristics, that is, its bottom thickness is substantially larger than its side thickness. Accordingly, the process deviation due to the micro-loading effect may be eliminated, for instance, when the bottom thickness of the first epitaxial layer is substantially smaller than side thickness of the first epitaxial layer in the iso region, and reciprocally in the dense region, thereby improving the reliability of the semiconductor device.


Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims
  • 1. A method for forming epitaxial layer, comprising: providing a semiconductor substrate, wherein the semiconductor substrate comprises at least a recess; andforming an undoped first epitaxial layer in the semiconductor substrate, wherein the undoped first epitaxial layer has a lattice constant, a bottom thickness, and a side thickness, wherein the lattice constant is different from a lattice constant of the semiconductor substrate and the bottom thickness is substantially larger than or equal to the side thickness.
  • 2. The method for fabricating epitaxial layer of claim 1, wherein the ratio of the bottom thickness to the side thickness is substantially larger than or equal to 1.4.
  • 3. The method for fabricating epitaxial layer of claim 1, further comprising forming a second epitaxial layer on the undoped first epitaxial layer.
  • 4. The method for fabricating epitaxial layer of claim 3, wherein the undoped first epitaxial layer comprises a first material, the second epitaxial layer comprises a second material, and a lattice constant of the first material and a lattice constant of the second material are different from a lattice constant of the semiconductor substrate.
  • 5. The method for fabricating epitaxial layer of claim 4, wherein the first material and the second material comprise silicon-germanium (SiGe).
  • 6. The method for fabricating epitaxial layer of claim 5, wherein a first germanium concentration of the first material is substantially smaller than a second germanium concentration of the second material.
  • 7. The method for fabricating epitaxial layer of claim 3, further comprising forming a third epitaxial layer on the second epitaxial layer.
  • 8. The method for fabricating epitaxial layer of claim 7, wherein a material of the third epitaxial layer comprises silicon (Si).
  • 9. The method for fabricating epitaxial layer of claim 1, further comprising forming a gate structure on the semiconductor substrate, wherein a source/drain region is in the semiconductor substrate adjacent to two sides of the gate structure.
CROSS REFERENCE TO RELATED APPLICATIONS

This is a continuation application of U.S. patent application Ser. No. 13/286,222, filed on Nov. 1, 2011, and all benefits of such earlier application are hereby claimed for this new continuation application.

US Referenced Citations (142)
Number Name Date Kind
4891303 Garza Jan 1990 A
5156994 Moslehi Oct 1992 A
5217910 Shimizu Jun 1993 A
5273930 Steele Dec 1993 A
5356830 Yoshikawa Oct 1994 A
5372957 Liang Dec 1994 A
5385630 Philipossian Jan 1995 A
5399506 Tsukamoto Mar 1995 A
5625217 Chau Apr 1997 A
5777364 Crabbe Jul 1998 A
5783478 Chau Jul 1998 A
5783479 Lin Jul 1998 A
5960322 Xiang Sep 1999 A
6030874 Grider Feb 2000 A
6048756 Lee Apr 2000 A
6074954 Lill Jun 2000 A
6100171 Ishida Aug 2000 A
6110787 Chan Aug 2000 A
6165826 Chau Dec 2000 A
6165881 Tao Dec 2000 A
6191052 Wang Feb 2001 B1
6228730 Chen May 2001 B1
6274447 Takasou Aug 2001 B1
6274894 Wieczorek et al. Aug 2001 B1
6355533 Lee Mar 2002 B2
6365476 Talwar Apr 2002 B1
6368926 Wu Apr 2002 B1
6406973 Lee Jun 2002 B1
6444591 Schuegraf Sep 2002 B1
6537370 Hernandez Mar 2003 B1
6544822 Kim Apr 2003 B2
6605498 Murthy Aug 2003 B1
6613695 Pomarede Sep 2003 B2
6621131 Murthy Sep 2003 B2
6624068 Thakar Sep 2003 B2
6632718 Grider Oct 2003 B1
6642122 Yu Nov 2003 B1
6664156 Ang Dec 2003 B1
6676764 Joo Jan 2004 B2
6699763 Grider Mar 2004 B2
6703271 Yeo Mar 2004 B2
6777275 Kluth Aug 2004 B1
6806151 Wasshuber Oct 2004 B2
6809402 Hopper Oct 2004 B1
6858506 Chang Feb 2005 B2
6861318 Murthy Mar 2005 B2
6864135 Grudowski Mar 2005 B2
6869867 Miyashita Mar 2005 B2
6887751 Chidambarrao May 2005 B2
6887762 Murthy May 2005 B1
6891192 Chen May 2005 B2
6930007 Bu Aug 2005 B2
6946350 Lindert Sep 2005 B2
6962856 Park Nov 2005 B2
6972461 Chen Dec 2005 B1
6991979 Ajmera Jan 2006 B2
6991991 Cheng Jan 2006 B2
7037773 Wang May 2006 B2
7060576 Lindert Jun 2006 B2
7060579 Chidambaram Jun 2006 B2
7112495 Ko Sep 2006 B2
7118952 Chen Oct 2006 B2
7132338 Samoilov Nov 2006 B2
7169675 Tan Jan 2007 B2
7183596 Wu Feb 2007 B2
7202124 Fitzgerald Apr 2007 B2
7217627 Kim May 2007 B2
7288822 Ting Oct 2007 B1
7303999 Sriraman Dec 2007 B1
7335959 Curello Feb 2008 B2
7410859 Peidous Aug 2008 B1
7462239 Brabant Dec 2008 B2
7491615 Wu Feb 2009 B2
7494856 Zhang Feb 2009 B2
7494858 Bohr Feb 2009 B2
7592231 Cheng Sep 2009 B2
7667227 Shimamune Feb 2010 B2
7691752 Ranade Apr 2010 B2
7838370 Mehta Nov 2010 B2
20020160587 Jagannathan Oct 2002 A1
20020182423 Chu Dec 2002 A1
20030181005 Hachimine Sep 2003 A1
20030203599 Kanzawa Oct 2003 A1
20040045499 Langdo Mar 2004 A1
20040067631 Bu Apr 2004 A1
20040227164 Lee Nov 2004 A1
20050070076 Dion Mar 2005 A1
20050079692 Samoilov Apr 2005 A1
20050082616 Chen Apr 2005 A1
20050139231 Abadie Jun 2005 A1
20050260830 Kwon Nov 2005 A1
20050285193 Lee Dec 2005 A1
20050287752 Nouri Dec 2005 A1
20060051922 Huang Mar 2006 A1
20060057859 Chen Mar 2006 A1
20060076627 Chen Apr 2006 A1
20060088968 Shin Apr 2006 A1
20060115949 Zhang Jun 2006 A1
20060163558 Lee Jul 2006 A1
20060228842 Zhang Oct 2006 A1
20060231826 Kohyama Oct 2006 A1
20060258126 Shiono Nov 2006 A1
20060281288 Kawamura Dec 2006 A1
20060292779 Chen Dec 2006 A1
20060292783 Lee Dec 2006 A1
20070023847 Rhee Feb 2007 A1
20070034906 Wang Feb 2007 A1
20070049014 Chen Mar 2007 A1
20070072353 Wu Mar 2007 A1
20070072376 Chen Mar 2007 A1
20070082451 Samoilov Apr 2007 A1
20070128783 Ting Jun 2007 A1
20070166929 Matsumoto Jul 2007 A1
20070200170 Yamasaki Aug 2007 A1
20070262396 Zhu Nov 2007 A1
20080014688 Thean Jan 2008 A1
20080061366 Liu Mar 2008 A1
20080067545 Rhee Mar 2008 A1
20080076236 Chiang Mar 2008 A1
20080085577 Shih Apr 2008 A1
20080116525 Liu May 2008 A1
20080119031 Pal et al. May 2008 A1
20080124874 Park May 2008 A1
20080128746 Wang Jun 2008 A1
20080142886 Liao Jun 2008 A1
20080220579 Pal Sep 2008 A1
20080233722 Liao Sep 2008 A1
20080233746 Huang Sep 2008 A1
20090039389 Tseng Feb 2009 A1
20090045456 Chen Feb 2009 A1
20090095992 Sanuki Apr 2009 A1
20090117715 Fukuda May 2009 A1
20090124056 Chen May 2009 A1
20090166625 Ting et al. Jul 2009 A1
20090184402 Chen Jul 2009 A1
20090186475 Ting Jul 2009 A1
20090246922 Wu Oct 2009 A1
20090278170 Yang Nov 2009 A1
20090302348 Adam Dec 2009 A1
20100001317 Chen Jan 2010 A1
20100093147 Liao Apr 2010 A1
20130026538 Liao et al. Jan 2013 A1
Non-Patent Literature Citations (1)
Entry
Rai-Choudhury et al., “Selective Silicon Epitaxy and Orientation Dependence of Growth”, Solid-State Science and Technology, pp. 664-668, vol. 120, No. 5, May 1973.
Related Publications (1)
Number Date Country
20140235038 A1 Aug 2014 US
Continuations (1)
Number Date Country
Parent 13286222 Nov 2011 US
Child 14260294 US