Source/drain extension control for advanced transistors

Abstract
A planar transistor with improved performance has a source and a drain on a semiconductor substrate that includes a substantially undoped channel extending between the source and the drain. A gate is positioned over the substantially undoped channel on the substrate. Implanted source/drain extensions contact the source and the drain, with the implanted source/drain extensions having a dopant concentration of less than about 1×1019 atoms/cm3, or alternatively, less than one-quarter the dopant concentration of the source and the drain.
Description
FIELD OF THE INVENTION

This disclosure relates to structures and processes for forming advanced transistors with improved operational characteristics, the structures including improved channel, source/drain extensions, gate spacers, or reduced channel dopant contamination, and integrated circuits and systems containing the same.


BACKGROUND OF THE INVENTION

Fitting more transistors onto a single die is desirable to reduce cost of electronics and improve their functional capability. A common strategy employed by semiconductor manufacturers is to simply reduce gate size of a field effect transistor (FET), and proportionally shrink area of the transistor source, drain, and required interconnects between transistors. However, a simple proportional shrink is not always possible because of what are known as “short channel effects.” Short channel effects are particularly acute when channel length under a transistor gate is comparable in magnitude to depletion depth of an operating transistor, and can include reduction in threshold voltage, severe surface scattering, drain induced barrier lowering (DIBL), source/drain punch through, and electron mobility issues.


Conventional approaches to mitigating some short channel effects can involve implantation of pocket or halo implants around the source and the drain. Halo implants can be symmetrical or asymmetrical with respect to a transistor source and drain, and typically provide a smoother dopant gradient between a transistor well and the source and drains. Unfortunately, while such implants improve some electrical characteristics such as threshold voltage rolloff and drain induced barrier lowering, the resultant increased channel doping can adversely affect electron mobility and reduce channel transconductance, primarily because of the increased dopant scattering in the channel.


Many semiconductor manufacturers have attempted to reduce short channel effects by employing new transistor types, including fully or partially depleted silicon on insulator (SOI) transistors. SOI transistors are built on a thin layer of silicon that overlies an insulator layer, have an undoped or low doped channel that minimizes short channel effects, and do not require deep well implants for operation. Unfortunately, creating a suitable insulator layer is expensive and difficult to accomplish. Modern SOI technology can use silicon wafers, but tends to require expensive and time consuming additional wafer processing steps to make an insulative silicon oxide layer that extends across the entire wafer below a surface layer of device-quality single-crystal silicon.


One common approach to making such a silicon oxide layer on a silicon wafer involves high dose ion implantation of oxygen and high temperature annealing to form a buried oxide (BOX) layer in a bulk silicon wafer. Alternatively, SOI wafers can be fabricated by bonding a silicon wafer to another silicon wafer (a “handle” wafer) that has an oxide layer on its surface. Both BOX formation and layer transfer, however, tend to be costly manufacturing techniques with a relatively high failure rate. Accordingly, manufacture of SOI transistors is not an economically attractive solution for many leading manufacturers. Factors including cost of transistor redesign to cope with “floating body” effects, the need to develop new SOI specific transistor processes, and other circuit changes is added to SOI wafer costs, render these solutions undesirable in many situations.


Another possible advanced transistor that has been investigated uses multiple gate transistors that, like SOI transistors, minimize short channel effects by having little or no doping in the channel. Commonly known as a finFET (due to a fin-like shaped channel partially surrounded by gates), use of finFET transistors has been proposed for transistors having 28 nanometer or lower transistor gate size. But again, like SOI transistors, while moving to a radically new transistor architecture solves some short channel effect issues, it creates others, often requiring even more significant transistor layout redesign than SOI. Considering the likely need for complex non-planar transistor manufacturing techniques to make a finFET, and the unknown difficulty in creating a new process flow for finFET, manufacturers have been reluctant to invest in semiconductor fabrication facilities capable of making finFETs.


Deeply depleted channel (DDC) transistors that include both a substantially undoped channel and a highly doped, deeply buried, “screening” layer that sets depletion depth of an operating transistor have potential as a cost effective and manufacturable alternative to SOI and finFET transistors. As compared to conventional transistors that use heavily doped channels, the use of an undoped channel can substantially reduce variations in threshold voltage attributable to random dopant fluctuations in the channel. The tight control of threshold voltage variation can also enable transistor designers to reduce transistor operating voltage and/or create transistors that either switch quickly (low threshold voltage transistors) or save power (high threshold voltage transistors) while switching somewhat slower. Unlike SOI transistors, DDC transistor structures and processes tend not to require a BOX or other insulating layer below the channel to have a tight control of threshold voltage; and unlike finFETs, DDC transistors tend not to require an extensive redesign of circuit layout for operation. DDC transistors are described more fully in the following patent applications, owned by Suvolta, Inc., the assignee of this patent application, and incorporated by reference in their entireties: application Ser. No. 12/708,497 entitled “Electronic Devices and Systems, and Methods for Making and Using the Same”; Appl. No. 61/323,255, entitled “Low Power Transistors, Systems, and Process Improvements”; and Appl. No. 61/357,492 entitled “Diverse and Low Power Transistors, Systems, and Process Improvements.”


Threshold voltage control, as well as efficient operation of DDC transistors, can require careful attention to undoped channel characteristics, including channel length, depth, and dopant gradient at source/drain contacts with the channel. Unfortunately, traditional techniques for controlling channel spacing and reducing short channel effects can require source/drain extensions (typically formed by out-diffusion under gate spacers) or halo implants to reduce source/drain junction gradients. Source/drain extensions (also known as lightly doped drains—“LDDs”) may be created to slightly reduce channel length by extending the source/drain toward each other using low-energy dopant implants of the same dopant type as the source and drain. Halo implants may be created by high angle implants of counterdopants around the source/drain that help prevent overexpansion of the drain depletion region into the transistor channel. Unfortunately, both conventional source/drain extensions and halo implants can cause contamination of a channel with unwanted dopants, reducing or destroying the advantages of the undoped channel or transistors with DDC structures.


The problem of channel dopant contamination can become even more acute when die supporting multiple transistor types or requiring multiple implants are implicated. Multiple implants increase the likelihood of dopant diffusion into the channel, with each implant becoming a potential source of channel contamination. In addition, each separate source/drain extension and halo implant process step can cause silicon erosion of the substrate layer due to a cleaning (aching) step, and can risks damage to transistor gate dielectric corners due to lateral oxidation. In “system on a chip,” microprocessor, or mixed signal processors, as well as many other advanced devices such as memory, FPGA, or analog/digital sensors, dozens of separate source/drain extensions and halo implants are often used in every die, with each implant process step introducing more dopant contaminants, slightly degrading the transistor gate structure, and increasing the risk of transistor failure. Even simple time delays between source/drain extensions and halo implant process steps can cause increased exposure of the gate dielectric layers to oxidation that damages the gate dielectric. While use of silicon nitride “L”-shaped spacers has been suggested to protect gate dielectrics from lateral oxidation “corner” attack during the multiple source/drain extensions and halo implant process steps, the space required to form L spacers typically reduces inter-transistor spacing, and complicates other processing steps such as growth or placement of tensile films or source/drain strain implants.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 shows a DDC transistor with source/drain extensions that does not require halo implants;



FIG. 2 is a graph illustrating source/drain extension dopant density for a conventional doped channel transistor (dotted line) and DDC transistor (solid line);



FIG. 3 is a prior art embodiment of a portion of two gates, each having silicon nitride L-spacers; and



FIG. 4 is an embodiment of a portion of two gates, each having spacers suitable for haloless transistor structures.





DETAILED DESCRIPTION OF THE INVENTION

An improved transistor manufacturable on bulk CMOS substrates is seen in FIG. 1. A Field Effect Transistor (FET) 100 is configured to have greatly reduced short channel effects and decreased variation in threshold voltage due, in part, to minimization of channel dopants. The FET 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 108 positioned over a channel 110. In operation, the channel 110 is deeply depleted, forming what can be described as deeply depleted channel (DDC) as compared to conventional transistors, with depletion depth set in part by a highly doped screening region 112. While the channel 110 is substantially undoped, and positioned as illustrated above a highly doped screening region 112, it may include simple or complex layering with different dopant concentrations. This doped layering can include a threshold voltage set region 111 with a dopant concentration less than screening region 112, optionally positioned between the gate dielectric 108 and the screening region 112 in the channel 110. A threshold voltage set region 111 permits small adjustments in operational threshold voltage of the FET 100, while leaving the bulk of the channel 110 substantially undoped. In particular, that portion of the channel 110 adjacent to the gate dielectric 108 should remain undoped. Additionally, a punch through suppression region 113 is formed beneath the screening region 112. Like the threshold voltage set region 111, the punch through suppression region 113 has a dopant concentration less than screening region 112, while being higher than the overall dopant concentration of a lightly doped P-well 114 and substrate 116.


In operation, a bias voltage 122 VBS may be applied to source 104 to further modify operational threshold voltage, and P+ terminal 126 can be connected to P-well 114 at connection 124 to close the circuit. The gate stack includes a gate electrode 102, gate contact 118 and a gate dielectric 108. Gate spacers 130 are included to separate the gate from the source and drain, and implanted source/drain extensions (SDE) 132 extend the source and drain under the gate spacers and gate dielectric 108, reducing the gate length and improving electrical characteristics of FET 100.


In this exemplary embodiment, the FET 100 is shown as an N-channel transistor having a source and drain made of N-type dopant material, formed upon a substrate as P-type doped silicon substrate providing a P-well 114 formed on a substrate 116. However, it will be understood that, with appropriate change to substrate or dopant material, a non-silicon P-type semiconductor transistor formed from other suitable substrates such as Gallium Arsenide based materials may be substituted. The source 104 and drain 106 can be formed using conventional dopant implant processes and materials, and may include, for example, modifications such as stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (low doped drain) techniques. Various other techniques to modify source/drain operational characteristics can also be used, including, in certain embodiments, use of heterogeneous dopant materials as compensation dopants to modify electrical characteristics.


Certain embodiments of the FET 100 omit entirely (or have a very light implant dose) halo implants to a region around the source/drain. Halo implants create a localized, graded dopant distribution near a transistor source and drain that extends into the channel. Halo implants are often required by transistor designers who want to reduce unwanted source/drain leakage conduction or “punch through” current. Unfortunately halo implants tend to introduce dopant contaminants into the channel. These contaminants can shift threshold voltage, increase the variability of threshold voltage between transistors, and decrease mobility and channel transconductance due to the adverse effects of dopant scattering centers in the channel. In addition, halo implants generally require at least two separate processing steps with the die wafer being rotated between different positions (e.g. 0, 90, 180, or 270 degrees), and die with multiple transistor types can even require multiple separate halo implants.


One embodiment of FET 100 supports use of lightly implanted halos with a tightly controlled diffusion spread that minimally impact the channel dopant density, which normally remains below about 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 108. Halo dopant density should be selected to ensure that there is little or no shift in threshold voltage, which is primarily set by the combination of gate electrode 102, threshold voltage set region 111 and screening region 112. In addition, if light halo implants are used, lateral diffusion or migration of dopants from the halo should be controlled to maintain a dopant concentration of less than about 5×1017 atoms/cm3 in a channel volume extending between the implanted source/drain extensions 132.


Other embodiments of FET 100 substantially do not use halo implants at all. Such “haloless” transistors and processes cost less to manufacture because halo implant process steps are not required, and eliminate any chance of failure due to misaligned halo implants or unwanted contamination of the undoped channel. Since advanced die manufacturing processes currently require dozens of high angle implants, eliminating or greatly reducing the number of halo implants significantly reduces manufacture time and simplifies die processing. This is especially important for die having gate lengths of 65 nanometers or less (commonly known as a 32 nanometer “node”). With a gate length of 65 nanometers, the channel length between the source and drain is so short that poorly aligned halo implants can easily contaminate the entire channel, greatly decreasing channel mobility and increasing threshold voltage variation. This problem increases as node size is reduced to 45 nm, 32 nm, 28 nm, 22 nm, or even 15 nm, so any process or transistor that requires minimal or no halo implants provides a significant advantage.


The gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof. In certain embodiments the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy. Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride. Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods. Typically, the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers.


The gate dielectric 108 may include conventional dielectric materials such as oxides, nitrides and oxynitrides. Alternatively, the gate dielectric 108 may include generally higher dielectric constant dielectric materials including, but not limited to hafnium oxides, hafnium silicates, zirconium oxides, lanthanum oxides, titanium oxides, barium-strontium-titanates and lead-zirconate-titanates, metal based dielectric materials, and other materials having dielectric properties. Preferred hafnium-containing oxides include HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx, and the like. Depending on composition and available deposition processing equipment, the gate dielectric 108 may be formed by such methods as thermal or plasma oxidation, nitridation methods, chemical vapor deposition methods (including atomic layer deposition methods) and physical vapor deposition methods. In some embodiments, multiple or composite layers, laminates, and compositional mixtures of dielectric materials can be used. For example, a gate dielectric can be formed from a SiO2-based insulator having a thickness between about 0.3 and 1 nm and the hafnium oxide based insulator having a thickness between 0.5 and 4 nm. Typically, the gate dielectric has an overall thickness from about 0.5 to about 5 nanometers.


The channel 110 is formed below the gate dielectric 108 and above the highly doped screening region 112. The channel 110 also contacts and extends between, the source 104 and the drain 106. Preferably, the channel region includes substantially undoped silicon having a dopant concentration less than 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 108. Channel thickness can typically range from 5 to 50 nanometers. In certain embodiments the channel 110 is formed by epitaxial growth of pure or substantially pure silicon on the screening region. Alternatively, silicon germanium or other advanced channel material can be used.


The effective length of channel 110 between the source and the drain is somewhat reduced by the source/drain extensions 132 that extend the source 104 and drain 106 under the gate (which includes gate electrode 102 and gate dielectric 108). As shown, the source/drain extensions 132 extend toward each other from the respective source and drains, extending under the gate spacers 130 and under at least a portion of gate dielectric 108. Source/drain extensions are also known as lightly doped drains (LDD) or double diffused drains (DDD) and are typically employed to reduce channel length, to reduce channel hot carriers, and to reduce other short channel effects that adversely affect transistor performance. For improved operation, FET 100 has source/drain extensions that are carefully implanted to provide a desired dopant concentration that is substantially greater than the channel dopant concentration; and the same or less than the source/drain dopant concentration. In certain embodiments, source/drain extensions dopant concentration is selected to be less than one-quarter (¼) the dopant concentration of the source and drain. For best performance, source/drain extensions dopant concentration is generally selected to be between one-quarter and one-twentieth ( 1/20) the source/drain dopant concentration. After implant, process conditions, including annealing temperatures, are selected to prevent dopant contamination of the channel between the source/drain extensions by diffusion or migration.


Source/drain extension dopant density is difficult to control in transistors because of variations in implant conditions, post-implant diffusion, annealing and dopant activation conditions, and even variations in optional anti-migration techniques such as carbon implant. In a conventional MOSFET, threshold voltage (VT) and statistical measure of threshold voltage variation (σVT) are set by the doping concentration (and the doping concentration variation) in the channel layer. Typically, this VT “adjust” involves multiple dopant implants directly into or near the channel (e.g. halo implants that result in dopant diffusion into the channel) to yield a post-anneal dopant concentration in the channel that is greater than about 5×1018 dopant atoms per cm3. Because of this, in the conventional MOSFET, an even higher dose source/drain extension is needed in the channel to properly extend the source/drain. However, such high dose implant causes excess straggle (a statistical measure of the spread of dopants) that increase σVT, and cause high overlap capacitance. Because the DDC channel dopant concentration is about an order of magnitude less than that of the conventional MOSFET, a source/drain extension activation implant used to fabricate a DDC transistor has a dose that that can be more than 10 times lower than that required to fabricate a conventional MOSFET. This is seen in FIG. 2, where a chart 200 compares dopant density of conventional MOSFET source/drain extensions and channel dopant density (dotted lines), with DDC source/drain extensions requiring a greatly reduced source/drain extension and channel dopant density (solid lines). The relative channel versus source/drain extension dopant density is about the same for both transistor types, but the DDC source/drain extensions will have substantially improved σVT, will provide improved contact resistance, and will be less subject to adverse short channel effects.


As further disclosed in FIG. 1, the threshold voltage set region 111 is positioned above screening region 112, and is typically formed as a thin doped region, layer, or plane that, like the source/drain extensions, is processed so that the channel dopant concentration remains low. Instead of conventional channel implants to adjust threshold voltage, DDC transistors rely in part on varying dopant concentration, thickness, and separation from the gate dielectric and the screening region allows for controlled slight adjustments of threshold voltage in the operating FET 100. In certain embodiments, the threshold voltage set region 111 is doped to have a concentration between about 1×1018 dopant atoms per cm3 and about 1×1018 dopant atoms per cm3. The threshold voltage set region 111 can be formed by several different processes, including 1) in-situ epitaxial doping, 2) epitaxial growth of a thin layer of silicon followed by a tightly controlled dopant implant (e.g. delta doping), 3) epitaxial growth of a thin layer of silicon followed by dopant diffusion of atoms from the screening region 112, or 4) by any combination of these processes (e.g. epitaxial growth of silicon followed by both dopant implant and diffusion from the screening region 112). In certain embodiments, very low channel contamination is possible by use of delta doped planes can be deposited by molecular beam epitaxy, organometallic decomposition, atomic layer deposition or other conventional processing techniques, including chemical or physical vapor deposition. Such delta doped planes can be offset positioned below the substantially undoped channel and above the screening region 112.


As seen in FIG. 1, a highly doped screening region 112 is set below the channel and any threshold voltage set region 111. The screening region 112 in large part sets the depth of the depletion zone of an operating FET 100. Advantageously, the screening region 112 (and associated depletion depth) is set at a depth that ranges from one comparable to the gate length (Lg/1) to a depth that is a large fraction of the gate length (Lg/5). In preferred embodiments, the typical range is between Lg/3 to Lg/1.5. Devices having an Lg/2 or greater are preferred for extremely low power operation, while digital or analog devices operating at higher voltages can often be formed with a screening region between Lg/5 and Lg/2. For example, a transistor having a gate length of 32 nanometers could be formed to have a screening region that has a peak dopant density at a depth below the gate dielectric of about 16 nanometers (Lg/2), along with a threshold voltage set region at peak dopant density at a depth of 8 nanometers (Lg/4).


In certain embodiments, the screening region 112 is doped to have a concentration between about 5×1018 dopant atoms per cm3 and about 1×1020 dopant atoms per cm3, significantly more than the dopant concentration of the undoped channel, and at least slightly greater than the dopant concentration of the optional threshold voltage set region 111. As will be appreciated, exact dopant concentrations and screening region depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions.


To help control leakage, the punch through suppression region 113 is formed beneath the screening region 112. Typically, the punch through suppression region 113 is formed by direct implant into a lightly doped well, but it be formed by out-diffusion from the screening region, in-situ growth, or other known process. Like the threshold voltage set region 111, the punch through suppression region 113 has a dopant concentration less than the screening region 122, typically set between about 1×1018 dopant atoms per cm3 and about 1×1019 dopant atoms per cm3. In addition, the punch through suppression region 113 dopant concentration is set higher than the overall dopant concentration of the well substrate. As will be appreciated, exact dopant concentrations and depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions. The ability to precisely set threshold voltage with DDC transistors provides another advantage that simplifies die processing and allows for more compact transistor layouts.


Complex die supporting multiple device types benefit from other described improvements in transistor layout and processing. This is seen with respect to FIG. 3, which schematically illustrates a partial prior art multi-transistor structure 210 capable of being manufactured in a 28 nm node transistor manufacturing process. Two transistor gate structures 212 and 214 whose centers are separated by about 110 nm and whose opposing edges are separated by about 70 nm is shown. As further shown in FIG. 3, sidewall spacer structures 220 and 230 are respectively disposed along the sidewalls of gate structures 212 and 214, and are in abutting relationship that overlies a transistor substrate 240. To simplify the Figure and for ease of understanding, only spacer structures on opposing sides of the gate structures are shown, but it will be understood that the gate normally supports spacers on each side. In accordance with the prior art, spacer structures 220 and 230 can include thin silicon nitride layers 222 and 232 (with each silicon nitride (Si3N4) layer being about 5 nm to 15 nm thick) formed in the shape of an L (this is typically referred to in the art as an “L-spacer”). L-spacers are often used in conjunction with transistors requiring multiple source/drain extension implants, and are generally discussed in U.S. Pat. Nos. 6,235,597 and 7,759,206, both assigned to International Business Machines, Inc. Oxide spacers 216 and 218 are disposed on the silicon nitride L-spacers beside gate structures 212 and 214, respectively. As shown in FIG. 3, the distance between spacer structures 220 and 230 along substrate layer 240 (i.e., the spacer-to-spacer opening distance) is only about 30 nm. In addition, as shown in FIG. 3, gate structures 212 and 214 include gate dielectric layers 250 and 260, respectively. Gate dielectric layers must be protected from lateral oxidation during fabrication.


While being manufacturable, prior art spacer architecture such as shown in FIG. 3 is not ideal in applications at the 65 nm semiconductor node and smaller. For example, as indicated above, the spacer-to-spacer opening in a 28 nm node process is only about 30 nm. As a result, there is little room left for an oxide mask needed for epitaxial deposition of silicon germanium (SiGe) to induce strain in the channel of P-type FETs. In practice, for the configuration shown in FIG. 3, the oxide mask for EPI deposition needs a spacing of at least about 25 nm. Further, the L-spacer tends to erode at corners during the many etch and clean steps. As a result, during epitaxial SiGe deposition, SiGe nodules may form at exposed areas, and adversely affect the integrity of electrical connections to gate structures 212 and 214. Further, the spacer architecture shown in FIG. 3 leaves little or no room for further spacers used to provide appropriate source/drain offsets. Further, creating source/drain extensions by implant through the additional material of the L-spacer requires higher energy/dose, which increases straggle and caused increased unwanted variations in source/drain extension dopant positioning, as previously discussed in connection with FIG. 2.


In contrast to prior art FIG. 3, a multi-transistor structure 310 such as illustrated in FIG. 4 requires minimal or no halo implants, and can use simplified spacers that allow for greater inter-spacer dimensions. Such a spacer architecture is suitable for multiple transistor integrated circuits fabricated at 32 nm semiconductor technology node devices and smaller (i.e., 28, 22, 15 nm semiconductor technology node devices and so forth). Specifically, such an embodiment relates to spacer architecture for fabricating a CMOS integrated circuit that contains both n-type field effect transistors (NFET or NMOS) and p-type field effect transistors (PFET or PMOS) formed on a single wafer, die, or chip and having closely spaced transistor gates dimensioned to be less than 150 nm between gate centers. In addition, use of a DDC structure allows distinct transistor groupings that have different nominal threshold voltage specifications. Such transistors groupings can be formed from a single source/drain extension implant, or alternatively, allow for the reduction in number of source/drain extension implants (e.g. some number N device types on a die will require N−M number of source/drain extension implants, where M is an integer between 1 and N). In some embodiments, only a single source/drain extension implant is required for all transistor devices on a die, greatly reducing processing costs.


As shown in FIG. 4, semiconductor structure 310 includes two transistor gate structures 312 and 314 whose centers, like that of the transistors shown in FIG. 3, are separated by less than 110 nm. As further shown in FIG. 4, sidewall spacer structures 320 and 330, substantially formed from spacers 316 and 318 (typically composed of nitride or other dielectrics), are respectively disposed along the sidewalls of gate structures 312 and 314, and are in abutting relationship that overlies a transistor substrate 340 without interposition of an L-spacer of silicon nitride or other composition. Gate structures 312 and 314 include gate dielectric layers 350 and 360, respectively.


In contrast to the transistors shown in FIG. 3, the FIG. 4 spacer structures 320 and 330 do not have thin, L-shaped silicon nitride layers. Instead, spacers 316 and 318 are directly disposed on the gate structures 312 and 314, respectively. As shown in FIG. 4, this reduces the spacer distance and greatly improves the distance between spacer structures 320 and 330 along substrate layer 340 (i.e., the spacer-to-spacer opening distance).


Advantageously, spacer structures such as illustrated in FIG. 4 provide sufficient room for a mask, such as an oxide mask, used for selective epitaxial growth of strain layers in source/drain regions. Use of the above-described spacer architecture and reduction and/or elimination of source/drain extensions and halo implant process steps allows manufacture of smaller transistors with improved layout, allows for advanced tensile film placement or source/drain strain engineering, simplifies process flow, and eliminates or greatly decreases failure due to misalignment or incorrect halo implants.


Transistors having a DDC stack can be formed using planar bulk CMOS processing technology available for use at the various standard semiconductor technology nodes. Performance benefits are enhanced for technology nodes where gate length is less than or equal to 65 nanometers, including those at 65 nm, 45 nm, 32 nm, 28 nm, 22 nm and 15 nm, but both larger and smaller nodes can still benefit. While process flows for fabricating a device with NMOS and PMOS transistors having a DDC stack are described in detail in the above-identified patent applications, one embodiment for manufacture of a die including transistors without halo implants, without L-spacers, and with a DDC channel begins with an epitaxial wafer. The wafer can be implanted both with a highly doped screening region and a punchthrough layer below the screening region. In certain embodiments, dopant level of the punch through layer is less than that of the screening region. An epitaxial silicon layer is grown above the screening region, and some defined time during epitaxial growth a delta doped layer is optionally deposited. After anneal and dopant activation, this optional deposited delta doped layer forms a threshold voltage setting region. Alternatively, or in addition, some diffusion from the screening region into the epitaxially deposited silicon layer can be used to aid in forming an offset voltage setting region. Epitaxial growth is continued to provide a substantially undoped channel for transistors that can be isolated from each other by shallow trench isolation. Following transistor isolation, the gate and spacer are fabricated. The source/drain and necessary extensions are formed by high concentration dopant implants, which require covering the substrate in areas in which PMOS devices are to be formed with a photoresist pattern; implanting (source/drain extension implant) low density n-type impurity ions into the substrate in regions where NMOS devices are to be formed; removing the photoresist pattern; covering the substrate in areas in which NMOS devices are to be formed with another photoresist pattern; implanting (source/drain extension implant) low density p-type impurity ions into the substrate in regions where PMOS device are to be formed; and removing the photoresist pattern. Next, spacers are formed, and optional stress layers comprising material suitable to apply a stress to the channel region when applied adjacent to or abutting the channel can be formed. For example, forming a stress layer for PMOS devices requires providing an oxide mask which exposes the source and drain of the PMOS devices while covering the NMOS devices; growing an epitaxial layer of, for example, SiGe; and removing the mask. Contacts and metals are then formed using masks to enable electrical contact with the devices.


Die supporting multiple transistor types, including those with and without DDC dopant structures, with or without punch through suppression, those having different threshold voltages, those with and without threshold voltage being set in part by delta doped threshold voltage structures, and with and without static or dynamic biasing are contemplated. Electronic devices that include the disclosed transistor structures can incorporate die configured to operate as “systems on a chip” (SoC), advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations, and are capable of supporting a wide range of applications, including wireless telephones, communication devices, “smart phones”, embedded computers, portable computers, personal computers, servers, and any other electronic device that can benefit from performance improvement. Electronic devices can optionally include both conventional transistors and transistors as disclosed, either on the same die or connected to other die via motherboard, electrical or optical interconnect, stacking or through used of 3D wafer bonding or packaging. According to the methods and processes discussed herein, a system having a variety of combinations of DDC and/or transistor devices, gate and spacer sizes, and strain or other structures can be produced on silicon using planar bulk CMOS processing techniques. In different embodiments, the die may be divided into one or more areas where dynamic bias structures, static bias structures or no-bias structures exist separately or in some combination. In a dynamic bias section, for example, dynamically adjustable devices may exist along with high and low VT devices and possibly DDC logic devices.


While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims
  • 1. A semiconductor die, comprising: a plurality of transistors, the plurality of transistors each having: a gate with an effective gate length;a source region;a drain region;an epitaxially grown channel layer below the gate and extending between the source region and the drain region;a first highly doped layer below the channel layer and coextensive therewith, the first highly doped layer effective to set a depletion depth for said plurality of transistors; andwherein some of the plurality of transistors have a second highly doped layer below the channel layer and above the first highly doped layer;wherein some of the plurality of transistors include a source and drain extension region; andwherein some of the plurality of transistors are haloless.
  • 2. A semiconductor die as in claim 1, wherein the first highly doped layer has a dopant concentration of between 5×1018 to 1×1020 atoms/cm3.
  • 3. A semiconductor die as in claim 1, wherein the second highly doped layer has a dopant concentration of between 1×1018 to 1×1019 atoms/cm3.
  • 4. A semiconductor die as in claim 1, wherein some of the plurality of transistors have another highly doped layer below the first highly doped layer and coextensive therewith, the another highly doped layer effective to function as a punch through suppression layer.
  • 5. A semiconductor die as in claim 1, wherein the epitaxially grown channel layer is undoped.
  • 6. A semiconductor die as in claim 1, wherein the source and drain extensions are formed by ion implantation.
  • 7. A semiconductor die as in claim 1, wherein the source region and the drain region are formed by ion implantation.
  • 8. A semiconductor die as in claim 1, wherein the source region and the drain region are formed by selective epitaxial growth.
  • 9. A semiconductor die as in claim 1, wherein the source region and the drain region are raised.
  • 10. A semiconductor die as in claim 1, wherein the second highly doped layer is formed on a substrate.
  • 11. A semiconductor structure on a bulk silicon substrate, the structure comprising: a transistor having a gate, a source region and a drain region;an undoped epitaxially grown channel layer below the gate and extending between the source region and the drain region;a first highly doped layer below the channel layer, the highly doped layer extending laterally across the channel layer;a second highly doped layer below the first highly doped layer and coextensive therewith, the second highly doped layer being doped to a concentration sufficient to set the depletion width for the transistor;a third highly doped layer below the second highly doped layer and coextensive therewith, the third highly doped layer being doped to a concentration sufficient to serve as a punch through suppression layer; andwherein the transistor is haloless.
  • 12. A semiconductor structure as in claim 11, wherein the punch through suppression layer has a dopant concentration less than the second highly doped layer.
  • 13. A semiconductor structure as in claim 11, wherein the first highly doped layer is coextensive with the channel layer.
  • 14. A semiconductor structure as in claim 11, wherein the first highly doped layer and the second highly doped layers are separate distinct layers.
  • 15. A semiconductor structure as in claim 11, wherein the source region and the drain region further include respective source and drain extensions.
  • 16. A semiconductor structure as in claim 15, wherein the source and drain extensions are formed by ion implantation.
  • 17. A semiconductor structure as in claim 11, wherein the source region and the drain region are formed by ion implantation.
  • 18. A semiconductor structure as in claim 11, wherein the source region and the drain region are formed by selective epitaxial growth.
  • 19. A semiconductor die as in claim 1, wherein the second highly doped layer has a dopant concentration of between 5×1018 to 1×1020 atoms/cm3.
  • 20. A semiconductor die as in claim 1, wherein the first highly doped layer has a dopant concentration of between 1×1018 to 1×1019 atoms/cm3.
RELATED APPLICATION

This application is a continuation of U.S. application Ser. No. 14/030,471 and now U.S. Pat. No. 8,686,511, which is a continuation of U.S. application Ser. No. 13/770,313 and now U.S. Pat. No. 8,563,384, which is a continuation of U.S. application Ser. No. 12/960,289 and now U.S. Pat. No. 8,404,551, each of which is hereby incorporated by reference herein.

US Referenced Citations (494)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen et al. Dec 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou et al. Sep 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5552332 Tseng et al. Sep 1996 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5624863 Helm et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham et al. Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farrenkopf et al. May 1999 A
5918129 Fulford, Jr. et al. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham et al. Mar 2000 A
6060345 Hause et al. May 2000 A
6060364 Maszara et al. May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann et al. Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6198157 Ishida et al. Mar 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6235597 Miles May 2001 B1
6245618 An et al. Jun 2001 B1
6268640 Park et al. Jul 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6319799 Ouyang et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6323525 Noguchi et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6335233 Cho et al. Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6521470 Lin et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucea et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke et al. Jun 2003 B2
6576535 Drobny et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6770944 Nishinohara Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797602 Kluth et al. Sep 2004 B1
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6852602 Kanzawa et al. Feb 2005 B2
6852603 Chakravarthi et al. Feb 2005 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jaehne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6927463 Iwata et al. Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7057216 Ouyang et al. Jun 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089513 Bard et al. Aug 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7091093 Noda et al. Aug 2006 B1
7105399 Dakshina-Murthy et al. Sep 2006 B1
7109099 Tan et al. Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch et al. Nov 2006 B2
7169675 Tan et al. Jan 2007 B2
7170120 Datta et al. Jan 2007 B2
7176137 Perug et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7230680 Fujisawa et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7294877 Rueckes et al. Nov 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7398497 Sato et al. Jul 2008 B2
7402207 Besser et al. Jul 2008 B1
7402872 Murthy et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7462908 Bol et al. Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7498637 Yamaoka et al. Mar 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7531393 Doyle et al. May 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko et al. Aug 2009 B2
7586322 Xu et al. Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea et al. Sep 2009 B1
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Berstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7681628 Joshi et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7723750 Zhu et al. May 2010 B2
7737472 Kondo et al. Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho et al. Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7759714 Itoh et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7795677 Bangsaruntip et al. Sep 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng et al. Oct 2010 B2
7818702 Mandelman et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7846822 Seebauer et al. Dec 2010 B2
7855118 Hoentschel et al. Dec 2010 B2
7859013 Chen et al. Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888205 Herner et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder et al. May 2011 B2
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell et al. Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa et al. Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8029620 Kim et al. Oct 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8053340 Colombeau et al. Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8067280 Wang et al. Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng et al. Dec 2011 B2
8097529 Krull et al. Jan 2012 B2
8103983 Agarwal et al. Jan 2012 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow et al. Feb 2012 B2
8114761 Mandrekar et al. Feb 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8179530 Levy et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8187959 Pawlak et al. May 2012 B2
8188542 Yoo et al. May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8217423 Liu et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock et al. Aug 2012 B2
8255843 Chen et al. Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li et al. Oct 2012 B2
8324059 Guo et al. Dec 2012 B2
8563384 Ranade et al. Oct 2013 B2
8686511 Ranade et al. Apr 2014 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek Oct 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060022270 Boyd et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060197158 Babcock et al. Sep 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060220114 Miyashita et al. Oct 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070117326 Tan et al. May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090057746 Sugll et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090309140 Khamankar et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura et al. Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100038724 Anderson et al. Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai et al. Oct 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson et al. Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110147828 Murthy et al. Jun 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu et al. Sep 2011 A1
20110230039 Mowry et al. Sep 2011 A1
20110242921 Tran et al. Oct 2011 A1
20110248352 Shifren et al. Oct 2011 A1
20110294278 Eguchi et al. Dec 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120021594 Gurtej et al. Jan 2012 A1
20120034745 Colombeau et al. Feb 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120132998 Kwon et al. May 2012 A1
20120138953 Cai et al. Jun 2012 A1
20120146155 Hoentschel et al. Jun 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120223363 Kronholz et al. Sep 2012 A1
Foreign Referenced Citations (13)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO2011062788 May 2011 WO
Non-Patent Literature Citations (35)
Entry
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3′ 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15 μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Chau, Ret a1., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006.
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ride National Laborator , Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
US 7,011,991, 03/2006, Li (withdrawn).
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, vol. 87, No. 4, pp. 537-570, Apr. 1999.
Hori, et al. A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions, pp. 6.8.1-6.8.3, May 12, 1993.
PCT Notification of Transmittal of The International Search Report and the Written Opinion of the International Searching Authority, or the Declaration, International Application No. PCT/US2011/062495, 9 pages.
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM), Dec. 2009.
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798, Nov. 2006.
Related Publications (1)
Number Date Country
20140167157 A1 Jun 2014 US
Continuations (3)
Number Date Country
Parent 14030471 Sep 2013 US
Child 14188493 US
Parent 13770313 Feb 2013 US
Child 14030471 US
Parent 12960289 Dec 2010 US
Child 13770313 US