Strain bars in stressed layers of MOS devices

Information

  • Patent Grant
  • 8389316
  • Patent Number
    8,389,316
  • Date Filed
    Tuesday, April 19, 2011
    13 years ago
  • Date Issued
    Tuesday, March 5, 2013
    11 years ago
Abstract
A semiconductor structure includes an active region; a gate strip overlying the active region; and a metal-oxide-semiconductor (MOS) device. A portion of the gate strip forms a gate of the MOS device. A portion of the active region forms a source/drain region of the MOS device. The semiconductor structure further includes a stressor region over the MOS device; and a stressor-free region inside the stressor region and outside the region over the active region.
Description
CROSS-REFERENCE TO RELATED APPLICATION

This application is related to commonly assigned U.S. patent application Ser. No. 11/849,798, filed Sep. 4, 2007, and entitled “Strained Transistor with Optimized Drive Current and Method of Forming,” which application is incorporated herein by reference.


TECHNICAL FIELD

This invention relates generally to semiconductor devices, and more particularly to metal-oxide-semiconductor (MOS) devices, and even more particularly to improving stresses in the MOS devices.


BACKGROUND

Reductions in the size and inherent features of semiconductor devices, for example, metal-oxide semiconductor (MOS) devices, have enabled continued improvements in speed, performance, density, and cost per unit function of integrated circuits over the past few decades. In accordance with a design of the MOS device and one of the inherent characteristics thereof, modulating the length of a channel region underlying a gate between a source and a drain of a MOS device alters a resistance associated with the channel region, thereby affecting the performance of the MOS device. More specifically, shortening the length of the channel region reduces a source-to-drain resistance of the MOS device, which, assuming other parameters are maintained relatively constant, may allow for an increase in current flow between the source and drain when a sufficient voltage is applied to the gate of the MOS device.


To further enhance the performance of MOS devices, stresses may be introduced in the channel region of a MOS device to improve its carrier mobility. Generally, it is desirable to induce a tensile stress in the channel region of an n-type MOS (NMOS) device in a source-to-drain direction (channel length direction) and to induce a compressive stress in the channel region of a p-type MOS (PMOS) device in the channel length direction.


A commonly used method for applying compressive stresses to the channel regions of MOS devices is to form stressed contact etch stop layers (CESL), which apply stresses to the underlying MOS devices. Since the CESLs were existing components of MOS devices, the introduction of the stressed CESLs resulted in little, if any at all, extra manufacturing costs. For NMOS devices, the overlying CESLs need to have inherent tensile stresses, and apply tensile stresses to the channel regions. For PMOS devices, the overlying CESLs need to have inherent compressive stresses, and apply compressive stresses to the channel regions.


CESLs apply a same stress (either tensile or compressive) to the underlying MOS devices from all directions. However, a MOS device may prefer different types of stresses in different directions. For example, PMOS devices prefer compressive stresses in the channel length directions, and tensile stresses in the channel width directions. Therefore, the compressive stresses applied to the channel width directions of the PMOS devices actually degrade the performance of the PMOS devices. A new structure is provided by the present invention to address the customized stress requirements of the MOS devices.


SUMMARY OF THE INVENTION

In accordance with one aspect of the present invention, a semiconductor structure includes an active region; a gate strip overlying the active region; and a metal-oxide-semiconductor (MOS) device. A portion of the gate strip forms a gate of the MOS device. A portion of the active region forms a source/drain region of the MOS device. The semiconductor structure further includes a stressor region over the MOS device; and a stressor-free region inside the stressor region and outside the region over the active region.


In accordance with another aspect of the present invention, a semiconductor structure includes a semiconductor substrate; an active region in the semiconductor substrate; an isolation region in the semiconductor substrate and adjoining the active region; and a MOS device. The MOS device includes a gate electrode over the active region; and a source region and a drain region on opposing sides of the gate electrode, wherein the source and drain regions overlap portions of the active region. The semiconductor structure further includes a stressor layer over the active region and the isolation region; a stressor-free region encircled by the stressor layer and directly overlying the isolation region; and a first strain bar in the stressor-free region.


In accordance with yet another aspect of the present invention, a semiconductor structure includes a semiconductor substrate; an active region in the semiconductor substrate; a shallow trench isolation (STI) region in the semiconductor substrate and adjoining the active region; and a MOS device. The MOS device includes a gate electrode over the active region, and a source region and a drain region on opposing sides of the gate electrode, wherein the source and drain regions overlap portions of the active region. The semiconductor structure further includes a contact etch stop layer (CESL) over the active region and the STI region; a stressor-free region overlying the isolation region, wherein the stressor-free region is encircled by the CESL; a strain bar filling the stressor-free region; an inter-layer dielectric (ILD) over the CESL; and a contact plug in the ILD and contacting one of the source and drain regions.


In accordance with yet another aspect of the present invention, a method of forming a semiconductor structure includes providing a semiconductor substrate comprising an active region therein; providing an isolation region in the semiconductor substrate and adjoining the active region; and forming a MOS device. The step of forming the MOS device includes forming a gate electrode over the active region; and forming a source region and a drain region on opposing sides of the gate electrode. The method further includes forming a stressor layer over the active region and the isolation region; and forming a strain bar overlying the isolation region, wherein the strain bar is encircled by the stressor layer.


In accordance with yet another aspect of the present invention, a method of forming a semiconductor structure includes providing a semiconductor substrate including an active region therein; providing a STI region in the semiconductor substrate and adjoining the active region; and forming a MOS device. The step of forming the MOS device includes forming a gate electrode over the active region; and forming a source region and a drain region on opposing sides of the gate electrode. The method further includes forming a contact etch stop layer over the active region and the STI region; forming an opening in the CESL and directly overlying the STI region; and filling the opening to form a strain bar.


The advantageous features of the present invention include improved stresses applied to MOS devices, and hence improved performance of the MOS devices.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:



FIG. 1 illustrates strain bars formed in a compressive stressor layer over a PMOS device;



FIG. 2 illustrates strain bars formed in a tensile stressor layer over a PMOS device;



FIGS. 3A and 3B illustrate strain bars formed in a compressive stressor layer over an NMOS device;



FIG. 4 shows no strain bars are formed in a tensile stressor layer over an NMOS device;



FIG. 5 illustrates a single-stressor scheme, wherein a same tensile stressor layer is formed over both PMOS and NMOS devices;



FIG. 6 illustrates a single-stressor scheme, wherein a same compressive stressor layer is formed over both PMOS and NMOS devices;



FIG. 7 illustrates strain bars having irregular shapes;



FIGS. 8 and 9 are cross-sectional views of intermediate stages in the formation of the structure shown in FIG. 2, wherein the strain bars are formed of an inter-layer dielectric;



FIG. 10 is a cross-sectional view of the structure shown in FIG. 1;



FIGS. 11 through 13 are cross-sectional views of intermediate stages in the formation of the structure shown in FIG. 2, wherein the strain bars are formed of a same material as contact plugs;



FIGS. 14 through 17 are cross-sectional views of intermediate stages in the formation of the structure shown in FIG. 2, wherein the strain bars are formed of a dielectric material having an opposite stress type than the stressor layer;



FIG. 18 shows a sample structure for experiments; and



FIGS. 19 and 20 are experiment results indicating the effect of the sizes of the stressor layers.





DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.


Novel stressor layers for applying stresses on metal-oxide-semiconductor (MOS) devices are presented. The manufacturing methods of the preferred embodiments are then discussed. Throughout the various views and illustrative embodiments of the present invention, like reference numbers are used to designate like elements.



FIG. 1 illustrates a top view of an embodiment of the present invention, which illustrates PMOS device 100 and the surrounding region. PMOS device 100 includes active region 110, and poly strip 112 extending over and across active region 110. Throughout the description, the term “poly strip” is used to refer to a conductive strip formed of polysilicon or various other conductive materials, such as metals, metal silicide, metal nitrides, and the like. Active region 110 may be surrounded by insulation regions, for example, shallow trench isolation regions (STI, refer to FIG. 10). Stressor layer 114 is formed over poly strip 112 and active region 110. Stressor layer 114 may be a contact etch stop layer (CESL), or other dielectric layer(s) formed over PMOS device 100.


As is known in the art, PMOS devices prefer compressive stresses. In this embodiment, stressor layer 114 has an inherent compressive stress. Accordingly, compressive stresses are applied to PMOS device 100 in both the X direction and the Y direction. The compressive stress (both the inherent stress in stressor layer 114 and the stress applied to PMOS device 100 by stressor layer 114) in the X direction (channel length direction) is beneficial for improving the drive current of PMOS device 100, and hence is preferably preserved. The compressive stress in the Y direction (channel width direction) is detrimental for the drive current of PMOS device 100, and hence is preferably eliminated. In the preferred embodiment, two strain bars 116 are formed over the STI region (hence are outside of the active region 110), and in stressor layer 114. Strain bars 116 are formed by etching stressor layer 114 to form openings, and fill the openings with a material different from the material of stressor layer 114. Accordingly, the regions occupied by strain bars 116 are also stressor-free regions. In an embodiment, strain bars 116 are filled with an inter-layer dielectric. In other embodiments, strain bars 116 are filled with a same material as contact plugs. In yet other embodiments, strain bars 116 are filled with a material having a neutral stress (no stress), or a stress type opposite to the stress type of stressor layer 114. In yet other embodiments, strain bars 116 may even be filled with a material having a stress of a same type as, but with a magnitude less than, the stress of stressor layer 114. The materials and the formation methods of strain bars 116 are discussed in detail in subsequent paragraphs. No strain bars are formed on the left and right sides of active region 110.


Strain bars 116 advantageously cut through the strain path in Y direction, and hence reduce the detrimental inherent compressive stress in Y direction. Accordingly, the detrimental compressive stress applied to PMOS device 100 is reduced. The distance Eny, which is between strain bars 116 and the respective edge of active region 110, is thus preferably small. In the preferred embodiment, distance Eny is less than about 1 μm, and more preferably less than about 0.3 μm. When distance Eny increases, stressor layer 114 still has the effect of reducing the stress in the Y direction. However, the amount of reduction is reduced. A greater width W2 of strain bars 116 is helpful in reducing the compressive stress in the Y direction. Preferably, width W2 is greater than about 1.0 μm. The length L2 of strain bars 116 is preferably greater than a path of a detrimental stress applied to a channel of the MOS device. In an exemplary embodiment, the length L2 of strain bars 116 is greater than the width of gate strip 112, and preferably greater than length L1. Further, the left ends and the right ends of strain bars 116 preferably extend beyond the respective left end and right end of active region 110.


PMOS device 100 may also be covered by a stressor layer having an inherent tensile stress, as is shown in FIG. 2. Tensile stressor layer 214 applies tensile stresses to PMOS device 100 from both X and Y directions. Since the tensile stress in the channel width direction (Y direction) is beneficial for the performance of PMOS device 100, no strain bars are formed in the path of the inherent stress in the Y direction. On the other hand, since the tensile stress in the channel length direction (X direction) is detrimental to the performance of PMOS device 100, strain bars 216 are formed parallel to poly strip 112, and adjacent to the respective left and right edges of active region 110. Similarly, strain bars 216 are formed of a different material than that of stressor layer 214. Strain bars 216 advantageously result in the reduction in the tensile stress applied in the channel length direction of PMOS device 100. Preferably, distance Enx between strain bars 216 and the respective sidewall of gate strip 112 is preferably less than 1 μm, and more preferably less than about 0.3 μm, so that the effect of reducing the undesirable stress is maximized.



FIGS. 3A through 4 shows how strain bars are formed to improve the performance of NMOS devices. Referring to FIG. 3A, NMOS device 200 includes active region 210, and poly strip 212 over active region 210, wherein a portion of poly strip 212 acts as the gate of NMOS device 200. Compressive stressor layer 114 is formed over NMOS 200. Since the compressive stresses in both the channel length direction and the channel width direction are detrimental to the performance of NMOS device 200, strain bars 116 are formed in stressor layer 114 to reduce the inherent stresses in both X and Y directions in stressor layer 114. In FIG. 3A, strain bars 116 are discrete bars physically separated from each other. However, one or more adjacent strain bars 116 may be interconnected. An example is shown in FIG. 3B, wherein strain bars 116 are interconnected to form a strain bar loop. Similar to FIG. 1, strain bars 116 may be filled with ILDs, contact plugs, or dielectric materials having tensile or neutral stresses.


It is appreciated that due to geographical limitations, not all of the above-recited strain bars can be formed. This may occur, for example, if another MOS device occupies the location of a strain bar. The performance of the respective MOS devices, however, may still be improved with only part of the desirable strain bars formed, although in a reduced scale. For example, it is also possible to form only one strain bar in each of the structures shown in FIGS. 1 and 2. It is also possible to form only one, two, or three strain bars in FIG. 3A.


In FIG. 4, NMOS device 200 is covered with stressor layer 214, which has a tensile stress. Since NMOS device 200 prefers tensile stresses in both the channel length direction and the channel width direction, no strain bars are formed.


In the above-discussed embodiments, in the directions wherein strain bars are not formed, the stressor layers preferably extend far to strengthen the desirable stresses. For example, distances Dx and Dy (refer to FIGS. 1, 2, and 4) preferably have values greater than about 5 μm. In other words, in the directions the stressor film applies beneficial strains to the channel of the MOS device, there is no upper limit for the values of Dx and Dy in the respective direction.



FIGS. 5 and 6 illustrate the strain bar formation for single-stressor schemes. FIG. 5 illustrates an embodiment in which a same tensile stressor layer 214 covers both PMOS device 100 and NMOS device 200. This embodiment is essentially the combination of the embodiments in FIGS. 2 and 4. FIG. 6 illustrates a single compressive stressor layer 114 formed on both PMOS device 100 and NMOS device 200. This embodiment is essentially the combination of the embodiments in FIGS. 1 and 3A.


In dual-stressor schemes, a compressive stressor layer is preferably formed over PMOS devices, and a tensile stressor layer is preferably formed over NMOS devices. Accordingly, the respective embodiments may be obtained by combining FIGS. 1 and 4.


It is realized that although strain bars are shown as rectangular bars in FIGS. 1 through 6, they can have any shape, as long as they are formed in the path of the undesirable inherent stresses in the stressor layers. FIG. 7 illustrates exemplary irregularly shaped strain bars 116 formed in stressor layer 114. In this case, the strain bars of neighboring MOS devices are interconnected. Further, strain bars, instead of being formed on both sides of a MOS device, can be formed on only one side of the MOS device, as is also shown in FIG. 7.



FIGS. 8 through 17 are cross-sectional views of the embodiments of the present invention, and are used to explain the formation processes of the embodiments discussed in the preceding paragraphs. FIGS. 8 and 9 illustrate cross-sectional views of intermediate stages in the formation of the structure shown in FIG. 2, wherein the cross-sectional views are taken along a plane crossing line A-A′ in FIG. 2. Referring to FIG. 8, PMOS device 100 is formed, which active region 110 is defined by STI regions, wherein active region 110 includes a surface region of semiconductor substrate 10. Stressor layer 214 is formed to cover the entire PMOS device 100. Strain bar openings 216′ are formed in stressor layer 214, for example, by etching. To form strain bar openings 216′, an extra mask is needed. In FIG. 9, ILD 218 is formed, and strain bar openings 216′ are filled with ILD 218. Next, contact openings are formed to penetrate ILD 218 and stressor layer 214, wherein stressor layer 214 may be used as a CESL. Contact plugs 30 are then formed in the contact openings. In this embodiment, the bottom portion of ILD 218 act as strain bars 216. Accordingly, the formation methods of strain bars 216 (and strain bars 116) may include sub-atmospheric pressure chemical vapor deposition (SACVD), high-density plasma (HDP), spin-on, and the like. The materials of the strain bars 216/116 thus may include commonly used ILD materials, such as carbon-containing low-k dielectrics, un-doped silicate glass (USG), and other dielectric materials.



FIG. 10 illustrates a cross-sectional view of the structure shown in FIG. 1, wherein the cross-sectional view is taken along a plane crossing line B-B′. Similar to the embodiment shown in FIGS. 8 and 9, strain bars 116 are also filled with ILD 118. Contact plugs 30 are formed to penetrate through ILD 118 and stressor layer 114.



FIGS. 11 through 13 illustrate an alternative embodiment for forming the structure shown in FIG. 2, wherein the cross-sectional views are also taken along the plane crossing line A-A′ in FIG. 2. Referring to FIG. 11, PMOS device 100 is formed, followed by the formation of stressor layer 214 and ILD 218. In FIG. 12, contact openings 30′ and dummy contact openings 31′ are simultaneously formed to penetrate ILD 218 and stressor layer 214. Dummy contact openings 31′ are formed in the position of the desirable strain bars 216, as shown in FIG. 13, and hence land on STI regions. Referring to FIG. 13, contacts plugs 30 and dummy contact plugs 31 are formed. Dummy plugs 31 are preferably floating. The bottom portions of dummy plugs 31 in stressor layer 214 thus act as strain bars 216. Accordingly, strain bars 216 (and strain bars 116 in other embodiments) may include tungsten, aluminum, copper, tantalum, titanium, nitrides thereof, combination thereof, and multi-layers thereof. The applicable methods for strain bars 216 hence include physical vapor deposition (PVD), atomic layer deposition (ALD), sputtering, plating, and the like. Advantageously, in this embodiment, the formation of strain bars 216 requires no additional masks and no additional process steps.



FIGS. 14 through 17 illustrate yet another embodiment, wherein the strain bars have an opposite stress type than the respective stressor layer. Again, the structure shown in FIG. 2 is used as an example, and the cross-sectional views are taken along line A-A′. Referring to FIG. 14, compressive stressor layer 314 is first formed over PMOS device 100. Next, as shown in FIG. 15, stressor layer 314 is patterned, and remaining portions form strain bars 216, which reside over the STI regions. In FIG. 16, tensile stressor layer 214 is blanket formed to cover PMOS device 100 and strain bars 216. A further patterning is then performed to remove the portions of stressor layer 214 directly overlying strain bars 216, resulting in a structure shown in FIG. 17. In the resulting structure, strain bars 216 have an opposite stress type than stressor layer 214, and hence are very effective in the reduction of detrimental stresses. However, this embodiment requires two extra masks, one for patterning stressor layer 314, and the other for patterning stressor layer 214.


Although FIGS. 8 through 17 only illustrate the process steps for forming some of the embodiments of the present invention, one skilled in the art will realize the process steps for forming remaining embodiments by applying the above-teachings, and selecting appropriate materials and patterns.


Strain bars 116 and 216 may be designed using logic operations, which may include layout modifications to graphic data system (GDS) files of the masks. For example, in the logic operations, MOS devices and the overlying CESL masks are first found, and the directions of the detrimental stresses applied by the CESL layers are determined. The appropriate locations and sizes of the strain bars are then determined, and the patterns of the strain bars are added to the masks. Alternatively, strain bars may be manually added. Preferably, the strain bars are formed directly over STI regions or field oxide regions, not directly over active regions. In alternative embodiments, the strain bars can also be formed directly over active regions.



FIGS. 19 and 20 illustrate experiment results indicating the effect of sizes of stressor layers to the improvement of device performance. FIG. 18 illustrates the samples used in the experiments, which include PMOS device 100 (including poly strip 112 and active region 110) and compressive stressor layer CESL1 formed over PMOS device 100. Stressor layer CESL2, which encircles stressor layer CESL1, has a tensile stress. FIG. 19 illustrates the drive current increase (ΔIdsat, in percentage) as a function of distance Dy, which distance is shown in FIG. 18. Results from different samples are distinguished by the shapes. FIG. 19 reveals that in the direction detrimental stresses are applied, with the decrease in distance Dy, more drive current improvement is resulted, until at some distance (about 0.1 μm in these samples), the drive current improvement saturates. Therefore, strain bars are preferably formed close to the active regions.



FIG. 20 illustrates the drive current decrease (ΔIdsat, in percentage) as a function of distance Dx, which distance is also shown in FIG. 18. FIG. 20 reveals that in the direction beneficial stresses are applied, with the increase in distance Dx, less drive current degradation is resulted (or in other words, the drive current increases as a function of distance Dx), until at some distance (about 3.0 μm in these samples), the drive current degradation saturates. Therefore, no strain bars are formed in the directions beneficial stresses are applied.


By using the embodiments of the present invention, the detrimental stresses applied by the stressor layers are minimized, while the beneficial stresses provided by the same stressor layers are preserved. The performance of the MOS devices is thus improved. The embodiments of the present invention require minimal extra steps and masks, if at all, and thus the manufacturing cost is not substantially increased.


Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims
  • 1. A method of forming a semiconductor structure, the method comprising: forming an isolation region in a semiconductor substrate, wherein the isolation region adjoins an active region in the semiconductor substrate;forming a metal-oxide-semiconductor (MOS) device comprising: forming a gate electrode over the active region; andforming a source region and a drain on opposing sides of the gate electrode;forming a stressor layer over the active region and the isolation region; andforming a strain bar overlaying the isolation region, wherein the strain bar is encircled by the stressor layer, wherein the steps of forming the stressor layer and the strain bar comprise: blanket forming a dummy stressor layer having an opposite stress type than the stressor layer;etching the dummy stressor layer to leave the strain bar;forming the stressor layer over the strain bar and the MOS device; andetching a portion of the stressor layer directly over the strain bar.
  • 2. A method of forming a semiconductor structure, the method comprising: providing a semiconductor substrate comprising an active region therein;providing an isolation region in the semiconductor substrate and adjoining the active region;forming a metal-oxide-semiconductor (MOS) device comprising: forming a gate electrode over the active region; andforming a source region and a drain region on opposing sides of the gate electrode;forming a stressor layer over the active region and the isolation region; andforming a strain bar overlying the isolation region, wherein the strain bar is encircled by the stressor layer, and wherein the step of forming the strain bar comprises: forming an opening in the stressor layer and overlying the isolation region; andfilling the opening to form the strain bar.
  • 3. The method of claim 2, wherein the strain bar is in a path of a detrimental stress applied to the MOS device by the stressor layer, and wherein the stressor layer is free from strain bars therein in paths of beneficial stresses applied to the MOS device by the stressor layer.
  • 4. The method of claim 2 further comprising: forming an inter-layer dielectric (ILD) over the stressor layer after the step of forming the opening, wherein the step of filling the opening comprises filling a portion of the ILD into the opening; andforming a contact plug in the ILD and electrically connected to one of the source and drain regions.
  • 5. The method of claim 2 further comprising: forming an ILD over the stressor layer, wherein the step of forming the opening is performed after the step of forming the ILD;forming a contact plug in the ILD and electrically connected to one of the source and drain regions; andforming a dummy contact plug in the ILD, wherein the step of filling the opening comprises forming a portion of the dummy contact plug in the opening.
  • 6. The method of claim 2, wherein the strain bar and a nearest boundary of the source and drain regions have a distance of less than about 0.3 μm.
  • 7. A method of forming a semiconductor structure, the method comprising: providing a semiconductor substrate comprising an active region therein;providing a shallow trench isolation (STI) region in the semiconductor substrate and adjoining the active region;forming a metal-oxide-semiconductor (MOS) device comprising: forming a gate electrode over the active region; andforming a source region and a drain region on opposing sides of the gate electrode;forming a contact etch stop layer (CESL) over the active region and the STI region;forming an opening in the CESL and directly overlying the STI region; andfilling the opening to form a strain bar.
  • 8. The method of claim 7, wherein the strain bar is in a path of a detrimental inherent stress applied by the CESL, and wherein the CESL is free from strain bars therein in paths of beneficial stresses applied by the CESL.
  • 9. The method of claim 7 further comprising: forming an inter-layer dielectric (ILD) over the CESL after the step of forming the opening, wherein the step of filling the opening comprises filling a portion of the ILD into the opening; andforming a contact plug in the ILD and electrically connected to one of the source and drain regions.
  • 10. The method of claim 7 further comprising: forming an ILD over the CESL, wherein the step of forming the opening is performed after the step of forming the ILD;forming a contact plug in the ILD; andforming a dummy plug contact plug in the ILD, wherein the step of filling the opening comprises forming a portion of the dummy plug in the opening.
  • 11. The method of claim 7, wherein the strain bar and a nearest boundary of the source and drain regions has a distance of less than about 0.3 μm.
Parent Case Info

This application is a continuation of U.S. patent application Ser. No. 12/048,135, entitled “Strain Bars in Stressed Layers of MOS Devices,” filed on Mar. 13, 2008, which application is incorporated herein by reference.

US Referenced Citations (198)
Number Name Date Kind
4069094 Shaw et al. Jan 1978 A
4314269 Fujiki Feb 1982 A
4497683 Celler et al. Feb 1985 A
4631803 Hunter et al. Dec 1986 A
4892614 Chapman et al. Jan 1990 A
4946799 Blake et al. Aug 1990 A
4952993 Okumura Aug 1990 A
5130773 Tsukada Jul 1992 A
5155571 Wang et al. Oct 1992 A
5273915 Hwang et al. Dec 1993 A
5338960 Beasom Aug 1994 A
5378919 Ochiai Jan 1995 A
5447884 Fahey et al. Sep 1995 A
5461250 Burghartz et al. Oct 1995 A
5479033 Baca et al. Dec 1995 A
5525828 Bassous et al. Jun 1996 A
5534713 Ismail et al. Jul 1996 A
5596529 Noda et al. Jan 1997 A
5629544 Voldman et al. May 1997 A
5656524 Eklund et al. Aug 1997 A
5708288 Quigley et al. Jan 1998 A
5714777 Ismail et al. Feb 1998 A
5763315 Benedict et al. Jun 1998 A
5783850 Liau et al. Jul 1998 A
5789807 Correale, Jr. Aug 1998 A
5811857 Assaderaghi et al. Sep 1998 A
5936276 Maurelli et al. Aug 1999 A
5955766 Ibi et al. Sep 1999 A
5965917 Maszara et al. Oct 1999 A
5972722 Visokay et al. Oct 1999 A
6008095 Gardner et al. Dec 1999 A
6015993 Voldman et al. Jan 2000 A
6027988 Cheung et al. Feb 2000 A
6046487 Benedict et al. Apr 2000 A
6059895 Chu et al. May 2000 A
6096591 Gardner et al. Aug 2000 A
6100153 Nowak et al. Aug 2000 A
6100204 Gardner et al. Aug 2000 A
6103599 Henley et al. Aug 2000 A
6107125 Jaso et al. Aug 2000 A
6111267 Fischer et al. Aug 2000 A
6190996 Mouli et al. Feb 2001 B1
6222234 Imai Apr 2001 B1
6232163 Voldman et al. May 2001 B1
6256239 Akita et al. Jul 2001 B1
6258664 Reinberg Jul 2001 B1
6281059 Cheng et al. Aug 2001 B1
6291321 Fitzgerald Sep 2001 B1
6294834 Yeh et al. Sep 2001 B1
6303479 Snyder Oct 2001 B1
6339232 Takagi Jan 2002 B1
6358791 Hsu et al. Mar 2002 B1
6387739 Smith, III May 2002 B1
6396137 Klughart May 2002 B1
6396506 Hoshino et al. May 2002 B1
6407406 Tezuka Jun 2002 B1
6413802 Hu et al. Jul 2002 B1
6414355 An et al. Jul 2002 B1
6420218 Yu Jul 2002 B1
6420264 Talwar et al. Jul 2002 B1
6429061 Rim Aug 2002 B1
6433382 Orlowski et al. Aug 2002 B1
6448114 An et al. Sep 2002 B1
6448613 Yu Sep 2002 B1
6475838 Bryant et al. Nov 2002 B1
6475869 Yu Nov 2002 B1
6489215 Mouli et al. Dec 2002 B2
6489664 Re et al. Dec 2002 B2
6489684 Chen et al. Dec 2002 B1
6495900 Mouli et al. Dec 2002 B1
6498359 Schmidt et al. Dec 2002 B2
6518610 Yang et al. Feb 2003 B2
6521952 Ker et al. Feb 2003 B1
6524905 Yamamichi et al. Feb 2003 B2
6525403 Inaba et al. Feb 2003 B2
6541343 Murthy et al. Apr 2003 B1
6555839 Fitzgerald Apr 2003 B2
6558998 Belleville et al. May 2003 B2
6573172 En et al. Jun 2003 B1
6576526 Kai et al. Jun 2003 B2
6586311 Wu Jul 2003 B2
6600170 Xiang Jul 2003 B1
6617643 Goodwin-Johansson Sep 2003 B1
6621131 Murthy et al. Sep 2003 B2
6633070 Miura et al. Oct 2003 B2
6646322 Fitzgerald Nov 2003 B2
6653700 Chau et al. Nov 2003 B2
6657259 Fried et al. Dec 2003 B2
6657276 Karlsson et al. Dec 2003 B1
6674100 Kubo et al. Jan 2004 B2
6686247 Bohr Feb 2004 B1
6690082 Lakshmikumar Feb 2004 B2
6720619 Chen et al. Apr 2004 B1
6724019 Oda et al. Apr 2004 B2
6734527 Xiang May 2004 B1
6737710 Cheng et al. May 2004 B2
6740535 Singh et al. May 2004 B2
6759717 Sagarwala et al. Jul 2004 B2
6762448 Lin et al. Jul 2004 B1
6784101 Yu et al. Aug 2004 B1
6794764 Kamal et al. Sep 2004 B1
6797556 Murthy et al. Sep 2004 B2
6798021 Ipposhi et al. Sep 2004 B2
6803641 Papa Rao et al. Oct 2004 B2
6812103 Wang et al. Nov 2004 B2
6815288 Kim Nov 2004 B2
6821840 Wieczorek et al. Nov 2004 B2
6830962 Guarini et al. Dec 2004 B1
6861318 Murthy et al. Mar 2005 B2
6867101 Yu Mar 2005 B1
6867433 Yeo et al. Mar 2005 B2
6872610 Mansoori et al. Mar 2005 B1
6882025 Yeo et al. Apr 2005 B2
6885084 Murthy et al. Apr 2005 B2
6891192 Chen et al. May 2005 B2
6900502 Ge et al. May 2005 B2
6924181 Huang et al. Aug 2005 B2
6936506 Buller et al. Aug 2005 B1
6936881 Yeo et al. Aug 2005 B2
6939814 Chan et al. Sep 2005 B2
6940705 Yeo et al. Sep 2005 B2
6969618 Mouli Nov 2005 B2
6982433 Hoffman et al. Jan 2006 B2
6998311 Forbes et al. Feb 2006 B2
7029994 Ge et al. Apr 2006 B2
7052964 Yeo et al. May 2006 B2
7071052 Yeo et al. Jul 2006 B2
7081395 Chi et al. Jul 2006 B2
7101742 Ko et al. Sep 2006 B2
7112495 Ko et al. Sep 2006 B2
7164163 Chen et al. Jan 2007 B2
7193269 Toda et al. Mar 2007 B2
7220630 Cheng et al. May 2007 B2
7268024 Yeo et al. Sep 2007 B2
7303955 Kim Dec 2007 B2
7354843 Yeo et al. Apr 2008 B2
7442967 Ko et al. Oct 2008 B2
7528028 Liang et al. May 2009 B2
7732838 Lee Jun 2010 B2
20010028089 Adan Oct 2001 A1
20020008289 Murota et al. Jan 2002 A1
20020031890 Watanabe et al. Mar 2002 A1
20020045318 Chen et al. Apr 2002 A1
20020074598 Doyle et al. Jun 2002 A1
20020076899 Skotnicki et al. Jun 2002 A1
20020125471 Fitzgerald et al. Sep 2002 A1
20020140031 Rim Oct 2002 A1
20020153549 Laibowitz et al. Oct 2002 A1
20020163036 Miura et al. Nov 2002 A1
20020190284 Murthy et al. Dec 2002 A1
20030001219 Chau et al. Jan 2003 A1
20030030091 Bulsara et al. Feb 2003 A1
20030080361 Murthy et al. May 2003 A1
20030080386 Ker et al. May 2003 A1
20030080388 Disney et al. May 2003 A1
20030098479 Murthy et al. May 2003 A1
20030136985 Murthy et al. Jul 2003 A1
20030162348 Yeo et al. Aug 2003 A1
20030181005 Hachimine et al. Sep 2003 A1
20030183880 Goto et al. Oct 2003 A1
20030227013 Currie et al. Dec 2003 A1
20040016972 Singh et al. Jan 2004 A1
20040018668 Maszara Jan 2004 A1
20040026765 Currie et al. Feb 2004 A1
20040029323 Shimizu et al. Feb 2004 A1
20040063300 Chi Apr 2004 A1
20040070035 Murthy et al. Apr 2004 A1
20040087098 Ng et al. May 2004 A1
20040104405 Huang et al. Jun 2004 A1
20040108598 Cabral, Jr. et al. Jun 2004 A1
20040129982 Oda et al. Jul 2004 A1
20040140506 Singh et al. Jul 2004 A1
20040173815 Yeo et al. Sep 2004 A1
20040179391 Bhattacharyya Sep 2004 A1
20040217448 Kumagai et al. Nov 2004 A1
20040262683 Bohr et al. Dec 2004 A1
20040266116 Mears et al. Dec 2004 A1
20050012087 Sheu et al. Jan 2005 A1
20050029601 Chen et al. Feb 2005 A1
20050035369 Lin et al. Feb 2005 A1
20050035410 Yeo et al. Feb 2005 A1
20050082522 Huang et al. Apr 2005 A1
20050093078 Chan et al. May 2005 A1
20050136584 Boyanov et al. Jun 2005 A1
20050186722 Cheng et al. Aug 2005 A1
20050224986 Tseng et al. Oct 2005 A1
20050224988 Tuominen Oct 2005 A1
20050236694 Wu et al. Oct 2005 A1
20050266632 Chen et al. Dec 2005 A1
20050269650 Pidin Dec 2005 A1
20060001073 Chen et al. Jan 2006 A1
20060121727 Metz et al. Jun 2006 A1
20070040225 Yang Feb 2007 A1
20070267680 Uchino et al. Nov 2007 A1
20080169484 Chuang et al. Jul 2008 A1
20080296695 Yang Dec 2008 A1
20090230439 Wang et al. Sep 2009 A1
20100078725 Hou et al. Apr 2010 A1
Foreign Referenced Citations (3)
Number Date Country
0 683 522 Nov 1995 EP
0 828 296 Mar 1998 EP
WO-03017336 Feb 2003 WO
Non-Patent Literature Citations (54)
Entry
“Future Gate Stack,” SEMATECH Inc., 2001 Annual Report, 2 pages.
Bednar, T. R., et al., “Issues and Strategies for the Physical Design of System-on-a-Chip ASICs,” IBM Journal of Research and Development, vol. 46, No. 6, Nov. 2002, pp. 661-674.
Bianchi, R. A., et al., “Accurate Modeling of Trench Isolation Induced Mechanical Stress Effects on MOSFET Electrical Performance,” IEDM, 2002, pp. 117-120.
Cavassilas, N., et al., “Capacitance-Voltage Characteristics of Metal-Oxide-Strained Semiconductor Si/SiGe Heterostructures,” Nanotech 2002, vol. 1, pp. 600-603.
Celik, M., et al., “A 45 nm Gate Length High Performance SOI Transistor for 100nm CMOS Technology Applications,” 2002 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 166-167.
Chang, L., et al., “Direct-Tunneling Gate Leakage Current in Double-Gate and Ultrathin Body MOSFETs,” IEEE Transactions on Electron Devices, vol. 49, No. 12, Dec. 2002, pp. 2288-2295.
Chang, L., et al., “Reduction of Direct-Tunneling Gate Leakage Current in Double-Gate and Ultra-Thin Body MOSFETs,” IEEE, 2001, 4 pages.
Chau, R., et al., “A 50nm Depleted-Substrate CMOS Transistor (DST),” IEDM, 2001, pp. 621-624.
Chen, W., et al., “Suppression of the SOI Floating-Body Effects by Linked-Body Device Structure,” 1996 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 92-93.
Fung, S. K. H., et al., “Gate Length Scaling Accelerated to 30nm Regime Using Ultra-Thin Film PD-SOI Technology,” IEDM, 2001, pp. 629-632.
Gámiz, F., et al., “Electron Transport in Strained Si Inversion Layers Grown on SiGe-on-Insulator Substrates,” Journal of Applied Physics, vol. 92, No. 1, Jul. 1, 2002, pp. 288-295.
Gámiz, F., et al., “Strained-Si/SiGe-on-Insulator Inversion Layers: The Role of Strained-Si Layer Thickness on Electron Mobility,” Applied Physics Letters, vol. 80, No. 22, Jun. 3, 2002, pp. 4160-4162.
Ge, C.-H., et al., “Process-Strained Si (PSS) CMOS Technology Featuring 3D Strain Engineering,” IEDM, 2003, pp. 73-76.
Geppert, L., “The Amazing Vanishing Transistor Act,” IEEE Spectrum, Oct. 2002, pp. 28-33.
Ghani, T., et al., “A 90nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors,” IEDM, 2003, pp. 978-980.
Grudowski, P., et al., “1-D and 2-D Geometry Effects in Uniaxially-Strained Dual Etch Stop Layer Stressor Integrations,” 2006 Symposium on VLSI Technology Digest of Technical Papers, IEEE, 2006, 2 pages.
Huang, X., et al., “Sub-50 nm P-Channel FinFET,” IEEE Transactions on Electron Devices, vol. 48, No. 5, May 2001, pp. 880-886.
Ismail, K., et al., “Electron Transport Properties of Si/SiGe Heterostructures: Measurements and Device Implications,” Applied Physics Letters, vol. 63, No. 5, Aug. 2, 1993, pp. 660-662.
Ito, S., et al., “Mechanical Stress Effect of Etch-Stop Nitride and Its Impact on Deep Submicron Transistor Design,” IEDM, 2000, pp. 247-250.
Jurczak, M., et al., “Silicon-on-Nothing (SON)—an Innovative Process for Advanced CMOS,” IEEE Transactions on Electron Devices, vol. 47, No. 11, Nov. 2000, pp. 2179-2187.
Jurczak, M., et al., “SON (Silicon on Nothing)—A New Device Architecture for the ULSI Era,” 1999 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 29-30.
Kanda, Y., “A Graphical Representation of the Piezoresistance Coefficients in Silicon,” IEEE Transactions on Electron Devices, vol. ED-29, No. 1, Jan. 1982, pp. 64-70.
Leitz, C. W., et al., “Channel Engineering of SiGe-Based Heterostructures for High Mobility MOSFETs,” Materials Research Society Symposium Proceedings, vol. 686, 2002, pp. 113-118.
Leitz, C. W., et al., “Hole Mobility Enhancements in Strained Si/Si1-yGey P-Type Metal-Oxide-Semiconductor Field-Effect Transistors Grown on Relaxed Si1-xGex (x<y) Virtual Substrates,” Applied Physics Letters, vol. 79, No. 25, Dec. 17, 2001, pp. 4246-4248.
Liu, K. C., et al., “A Novel Sidewall Strained-Si Channel nMOSFET,” IEDM, 1999, pp. 63-66.
Matthews, J. W., “Defects Associated with the Accommodation of Misfit between Crystals,” The Journal of Vacuum Science and Technology, vol. 12, No. 1, Jan./Feb. 1975, pp. 126-133.
Matthews, J. W., et al., “Defects in Epitaxial Multilayers—I. Misfit Dislocations,” Journal of Crystal Growth, vol. 27, 1974, pp. 118-125.
Matthews, J. W., et al., “Defects in Epitaxial Multilayers—II. Dislocation Pile-Ups, Threading Dislocations, Slip Lines and Cracks,” Journal of Crystal Growth, vol. 29, 1975, pp. 273-280.
Matthews, J. W., et al., “Defects in Epitaxial Multilayers—III. Preparation of Almost Perfect Multilayers,” Journal of Crystal Growth, vol. 32, 1976, pp. 265-273.
Mizuno, T., et al., “Novel SOI p-Channel MOSFETs with Higher Strain in Si Channel Using Double SiGe Heterostructures,” IEEE Transactions on Electron Devices, vol. 49, No. 1, Jan. 2002, pp. 7-14.
Nayak, D. K., et al., “Enhancement-Mode Quantum-Well GexSi1-x PMOS,” IEEE Electron Device Letters, vol. 12, No. 4, Apr. 1991, pp. 154-156.
Ootsuka, F., et al., “A Highly Dense, High-Performance 130nm Node CMOS Technology for Large Scale System-on-a-Chip Applications,” IEDM, 2000, pp. 575-578.
Ota, K., et al., “Novel Locally Strained Channel Technique for High Performance 55nm CMOS,” IEDM, 2002, pp. 27-30.
Rim, K., et al., “Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET's,” IEEE Transactions on Electron Devices, vol. 47, No. 7, Jul. 2000, pp. 1406-1415.
Rim, K., “Strained Si Surface Channel MOSFETs for High-Performance Cmos Technology,” IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2001, pp. 116-117.
Schüppen, A., et al., “Mesa and Planar SiGe-HBTs on MBE-Wafers,” Journal of Materials Science: Materials in Electronics, vol. 6, 1995, pp. 298-305.
Scott, G., et al., “NMOS Drive Current Reduction Caused by Transistor Layout and Trench Isolation Induced Stress,” IEDM, 1999, pp. 827-830.
Shahidi, G. G., “SOI Technology for the GHz Era,” IBM Journal of Research and Development, vol. 46, No. 2/3, Mar./May 2002, pp. 121-131.
Shimizu, A., et al., “Local Mechanical-Stress Control (LMC): A New Technique for CMOS-Performance Enhancement,” IEDM, 2001, pp. 433-436.
Tezuka, T., et al., “High-Performance Strained Si-on-Insulator MOSFETs by Novel Fabrication Processes Utilizing Ge-Condensation Technique,” 2002 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 96-97.
Thompson, S., et al., “A 90 nm Logic Technology Featuring 50nm Strained Silicon Channel Transistors, 7 Layers of Cu Interconnects, Low k ILD, and 1 um2 SRAM Cell,” IEDM, 2002, pp. 61-64.
Tiwari, S., et al., “Hole Mobility Improvement in Silicon-on-Insulator and Bulk Silicon Transistors Using Local Strain,” IEDM, 1997, pp. 939-941.
Uejima, K., et al., “Highly Efficient Stress Transfer Techniques in Dual Stress Liner CMOS Integration,” 2007 Symposium on VLSI Technology Digest of Technical Papers, Paper 12A-4, IEEE, 2007, pp. 220-221.
Wang, L. K., et al., “On-Chip Decoupling Capacitor Design to Reduce Switching-Noise-Induced Instability in CMOS/SOI VLSI,” Proceedings of the 1995 IEEE International SOI Conference, Oct. 1995, pp. 100-101.
Welser, J., et al., “NMOS and PMOS Transistors Fabricated in Strained Silicon/Relaxed Silicon-Germanium Structures,” IEDM, 1992, pp. 1000-1002.
Wolf, S., et al., “Silicon Processing for the VLSI Era,” vol. 1: Process Technology, Second Edition, Lattice Press, Sunset Beach, CA, 2000, pp. 834-835.
Wolf, S., et al., “Silicon Processing for the VLSI Era,” vol. 2: Process Integration, Lattice Press, Sunset Beach, CA, 1990, pp. 144-145.
Wolf, S., et al., “Silicon Processing for the VLSI Era,” vol. 1: Process Technology, Second Edition, Lattice Press, Sunset Beach, CA, 2000, pp. 374-385.
Wolf, S., et al., “Silicon Processing for the VLSI Era,” vol. 2: Process Integration, Lattice Press, Sunset Beach, CA, 1990, pp. 658-663.
Wong, H.-S. P., “Beyond the Conventional Transistor,” IBM Journal of Research and Development, vol. 46, No. 2/3, Mar./May 2002, pp. 133-167.
Yang, F.-L., et al., “25 nm CMOS Omega FETs,” IEDM, 2002, pp. 255-258.
Yang, F.-L., et al., “35nm CMOS FinFETs,” 2002 Symposium on VLSI Technology Digest of Technical Papers, IEEE, pp. 104-105.
Yeo, Y.-C., et al., “Enhanced Performance in Sub-100 nm CMOSFETs Using Strained Epitaxial Silicon-Germanium,” IEDM, 2000, pp. 753-756.
Yeoh, J. C., et al., “MOS Gated Si:SiGe Quantum Wells Formed by Anodic Oxidation,” Semiconductor Science and Technology, vol. 13, 1998, pp. 1442-1445.
Related Publications (1)
Number Date Country
20110195554 A1 Aug 2011 US
Continuations (1)
Number Date Country
Parent 12048135 Mar 2008 US
Child 13089765 US