Analysis optimizer

Information

  • Patent Grant
  • 8056022
  • Patent Number
    8,056,022
  • Date Filed
    Thursday, November 8, 2007
    16 years ago
  • Date Issued
    Tuesday, November 8, 2011
    12 years ago
Abstract
A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
Description
FIELD

The technology disclosed herein relates to methods for preparing design data for manufacturing, and in particular, to methods for determining if features of a target layout of an integrated circuit will print correctly on a wafer when designated photolithographic manufacturing techniques are used.


BACKGROUND

In conventional photolithographic processing, an integrated circuit (IC) is created by printing a pattern of features defined on a mask or reticle onto a semiconductor wafer that is coated with photosensitive materials. The printed wafer is then chemically and mechanically processed to create various circuit components having shapes corresponding to those of the printed features. The wafer is then re-coated with another layer of photosensitive materials and the process continues to build various layers of the integrated circuit.


As the size or spacing of the features to be printed on the wafer becomes smaller than the wavelength of light used to print a pattern of features onto the semiconductor wafer, optical and other process distortions occur such that the pattern that is actually printed on the wafer may not match the desired target pattern. As a result, numerous resolution enhancement techniques have been developed to improve the fidelity with which a target pattern of features can be printed on a wafer. Examples of resolution enhancement techniques include optical and process correction (OPC), sub-resolution assist features (SRAFs) and phase shift masks.


Additional techniques can be used to verify that a desired target pattern will print on a wafer. These techniques, sometimes called design for manufacturing (DFM) techniques, sometimes called “hotspot” detection techniques, or sometimes referred to as lithography friendly design (LFD) methods, analyze a proposed circuit layout to ensure that the features will print correctly under a variety of different process conditions. These conditions will be specific for the manufacturing process selected, and can include variations in the dose and focus of the light that will be used to expose the pattern onto a wafer. After such an analysis, a circuit designer is alerted to the areas or particular features within the design that may not be properly manufactured.


One approach to this analysis is to use a process model of some sort to estimate the appearance of the pattern on the wafer. This model is often calibrated to the behavior of a particular process or tool set, and encoded into software that allows rapid computation of the pattern on the wafer under the many variations of process conditions that may be reasonably expected.


If the model used in this approach is accurate, this can be almost assured of finding all the locations which may prove to be a problem for manufacturing. This rigorous analysis therefore represents a very reliable approach to detecting problems areas in an IC layout. However, although advances have been made in massively parallel computing that may allow simultaneous computation for many process conditions, this still remains a massive computation job, especially as IC dimensions grow smaller and the sensitivity to process variations grows. To complete a reasonable assessment of a layout in a reasonable amount of time with this full model-based treatment remains a challenge.


One approach to improve the speed of analysis is to use “libraries” of known problems. Here, the proposed circuit layout is analyzed by comparing the feature pattern of a target layout to a database library of known patterns that do not print correctly. The defective patterns are most often detected by a fabrication facility based on test patterns that are printed or from actual experience printing other similar circuits, but can also be simulated using a calibrated process model. As more defective patterns are detected under a variety of conditions, the database becomes increasingly large and the time required to confirm the manufacturability of a layout by comparison against this ever growing library can be take several days or longer using a high speed or networked computer system. Furthermore, it is possible that a new circuit layout will include features that will fail, but have not previously been detected and included in the database library. Therefore, even if a “hotspot” analysis is performed on a circuit layout, there is no guarantee that all errors can be found, and that all the features in the layout will print as desired.


SUMMARY

To address these and other concerns, the technology disclosed herein relates to a method of analyzing a set of target layout data to determine if the features of the target layout will print correctly on a wafer. Instead of analyzing all the features in the target layout, the data volume or number of features in the target layout data is reduced by removing features or areas that can be determined to print correctly. Those features or areas of the target layout that remain define a revised set of target layout data that is then subjected to a rigorous analysis.


In one embodiment, the data volume or number of features in the target layout data is reduced by removing features or areas of the target layout that have already been analyzed with an analysis tool or other tool and have been determined to print correctly. In addition, duplicated features or cells of features in the layout data can be removed such that separate analyses do not need to be performed for each instance of the same feature or cell.


In one embodiment, those features that remain in the target layout are fragmented into a number of edge fragments. In one embodiment, each edge fragment is analyzed to determine if it is part of a large feature, is next to a corner or is at a corner of a feature or is within a predetermined distance of another edge fragment. Edge fragments that are part of a large feature, are not at a corner or adjacent to a corner and are not within a predetermined distance of another edge fragment are removed from the target layout.


In one embodiment, the remaining edge fragments in the target layout are analyzed with an optical and process correction (OPC) tool. The OPC corrected edge fragments are analyzed to determine the sensitivity of an edge placement error (EPE) to process variations such as variations in dose and focus. Edge fragments having an EPE that is sensitive to process variations are analyzed with an LFD tool or other analysis tool to determine if the corresponding features of which the edge fragments are a part will print correctly on the wafer.


Any edge fragments that are determined to likely print incorrectly may be provided to a circuit designer in the form of an error list.





BRIEF DESCRIPTION OF THE DRAWINGS

The foregoing and other objects, features, and advantages of the invention will become more apparent from the following detailed description, which proceeds with reference to the accompanying figures, wherein:



FIG. 1 illustrates a conventional system for performing a “hotspot” analysis;



FIG. 2 illustrates a technique for performing an LFD or other photolithographic analysis in accordance with one embodiment of the disclosed technology;



FIGS. 3A-3C are flowcharts of acts performed in accordance with one embodiment of the disclosed technology to perform an LFD analysis on a target layout;



FIGS. 4A-4G illustrate techniques for analyzing replicated features in a target layout according to an embodiment of the disclosed technology; and



FIG. 5 illustrates edge fragments of a target layout that are within a predetermined distance of each other.





DETAILED DESCRIPTION


FIG. 1 illustrates a conventional system for performing a lithographic “hotspot” analysis on a target layout. A computer system 20 receives a set of target layout data 30. The target layout data 30 is typically stored in a database in a layout description language such as GDS-II or OASIS. To perform the analysis, the computer system 20 also accesses an error database 40 that includes descriptions of feature patterns that are known to print incorrectly on a wafer under various process conditions. Typically, the error database 40 is populated with information received from a fabrication facility, but can also include data generated from simulation models. The computer system 20 then compares the feature patterns of the target layout data 30 with the feature patterns defined in the error database 40 to determine if the features of the target layout will print correctly on a wafer. Those feature patterns of the target layout that match the feature patterns in the error database 40 are used to produce an error list 44, which may be in physical or electronic form. The error list 44 can be reviewed by the circuit designer to either change the configuration of the target layout or the conditions under which the target layout will be printed so that circuits can be manufactured as desired.



FIG. 2 illustrates a system for performing an LFD or other photolithographic analysis on a target layout in accordance with one embodiment of the disclosed technology. In this embodiment, the computer system 20 receives a set of target layout data 50 or portion thereof that defines a number of features to be printed on a wafer. In order to reduce the time required to perform the LFD analysis, areas or features in the target layout that are known to print correctly are removed from the target layout to define a revised target layout on which the LFD analysis is performed. In addition, duplicates of features that are defined in the target layout are removed prior to performing the analysis. Finally, those features that are positioned far away from other features or are otherwise sufficiently robust such that they will print correctly are removed prior to performing the analysis. In the example shown, individual features or areas including groups of features 52-56 are removed from the target layout to create the revised target layout prior to performing the LFD analysis. Features 58 and 60 remain in the target layout for analysis by one or more photolithographic tools such as an LFD analysis 70, which is used to produce electronic or physical error list 80. It should be noted that, since the revised target layout is considerably smaller, the more rigorous, full model-based analysis techniques, which are impractical on the full layout, can now be executed in a reasonable amount of time.



FIGS. 3A-3C are flow charts of acts performed in accordance with one embodiment of the disclosed technology to prepare a revised target layout prior to performing an LFD analysis. Although the acts are illustrated in a particular order, it will be appreciated that the acts may be performed in different orders while still achieving the functionality described. In addition, not all the acts described need to be performed in order to achieve the benefits of the disclosed technology. Also, although the disclosed embodiments of the technology are described as being carried out for use with an LFD analysis, these layout data reduction techniques could be applied for use with other analysis tools.


A computer system executes a sequence of programmed instructions contained on a computer storage medium (CD-ROM, hard drive, DVD etc.) or received over a computer communication link such as the Internet, to create the revised target layout for photolithographic analysis. Beginning at 100, an original set of target layout data or portion thereof is received by the computer system on a computer storage medium (CD-ROM, hard drive etc. or from a computer communication link such as a wired or wireless computer communication link including the Internet etc.). At 120, it is determined whether any features or areas of the target layout have been previously subjected to an LFD analysis or another type of photolithographic analysis that confirms that the features will print as desired. If so, those previously analyzed features or areas are removed from the target layout.


In one embodiment, if a feature or group of features is removed from the target layout, a border area that extends inwardly of the boundaries of the features or area to be removed is maintained in the revised target layout in order to provide local context for the features that remain. In one embodiment, the border area has a width of one optical diameter of the photolithographic processing system to be used to print the target layout.


At 126, it is determined if there are any replicated features in the target layout. In one embodiment, replicated features having a size that is smaller than the optical diameter of the photolithographic process are combined such that the combined features have a size that is as large as, or larger than, the optical diameter. At 130, a search is performed in the target layout for patterns of features matching the combined replicated features. Duplicate patterns of the combined features are removed from the target layout at 132.



FIG. 4A illustrates a 4×8 array 200 of a number of identical replicated features “A” in a target layout. To avoid performing the LFD analysis for each of the 32 instances of feature A, the duplicate entries can be removed from consideration. FIG. 4B illustrates a 3×3 sub-array 206 within the larger the array 200. The sub-array 206 includes a central instance of feature A 208 that is surrounded on all sides by similar features. In the example shown, the array 200 includes twelve instances of the feature A 208 that are surrounded by identical features. Therefore, the LFD analysis of one instance of feature A 208 can be performed and used for the remaining eleven instances of feature A 208.


In FIG. 4C illustrates an instance of feature A 210 that is located on a side of the array 200 and is bounded on three sides by similar features. In the example shown, there are six identical instances of a feature 210 in the array 200. The analysis performed for one instance of the feature 210 can be repeated for the additional five instances of feature 210.



FIG. 4D illustrates an instance of a feature A 212 at a corner of the array 200. In the example shown, there are four unique instances of a corner feature, each of which is analyzed separately.



FIG. 4E illustrates the similar features 208, 210, 212, 214, 216, 218, 220, 222 that are present in the array 200. For any 3×3 or larger array there is a minimum of nine separate instances of a replicated feature that need to be analyzed. In the example shown, the number of features in the array 200 that are subjected to the LFD analysis can be reduced from 32 features to 9 features.



FIG. 4F illustrates one technique for analyzing an additional feature 240 that interacts with features in the array 200. To compensate for the local interaction, a boundary box 250 is determined that extends outwardly from the feature 240 by a predefined distance such as the optical diameter of the photolithographic system (boundary box 250 is not drawn to scale). In the example shown, the boundary box 250 encompasses 16 instances of the features A in the array 200. Any instance of a feature that lies wholly or partially within the boundary box 250 is separately analyzed. In the example shown, the LFD analysis of the array 200 can be completed by analyzing the 9 different instances of feature A plus the 16 instances that are within the boundary box 250 of the feature 240 for a total of 25 analyses that are performed. However, this is still an improvement from separately analyzing each of the 32 instances of feature A.



FIG. 4G illustrates an example where a feature 254 is adjacent the array 200. In this example, a boundary box 256 is drawn extending outwardly from the feature 254 and wholly or partially encompasses five instances of feature A from the array 200. In this example, the analysis of the replicated features can be performed with 8 instances of features that are not within the boundary box 256 surrounding the feature 254 plus the analyses of the 5 features that are within the boundary box 256 for a total of 13 analyses that are to be performed.


In the example shown, it is assumed that each feature A is larger than some predetermined size such as being as large as, or larger than, the optical diameter of the photolithographic printing system. In one embodiment, if the replicated features have a size that is smaller than the predetermined size, the features may be combined to have a size larger than the predetermined size.


Returning now to FIG. 3A, the features that remain in the target layout are fragmented into a number of edge fragments at 136. Typically the features in the layout database are stored as a sequence of vertices that define the borders of polygons. Fragmentation is performed by adding additional vertices or fragmentation endpoints so that the size of the individual edge fragments that extend around the perimeters of the polygons is reduced. Each edge fragment is typically associated with a simulation site at which various printing parameters are determined.


At 140, each remaining edge fragment in the target layout is analyzed. At 142 it is determined if an edge fragment is part of a large feature i.e. a feature having a length or width (or both) that is greater than some defined minimum. Those edge fragments that are part of a large feature are marked as “large” features. What constitutes a large feature may be process defined or user selected. If the answer to 142 is yes, it is determined if the edge fragment is at a corner of a feature or is adjacent to a corner of the feature at 144. If the edge fragment is not next to a corner or at a corner, is determined whether the edge fragment is within a predetermined distance another edge fragment at 146. If the answer to 146 is no, then the edge fragment is removed from the target layout.


If an edge fragment is not part of a large feature, is at or adjacent to a corner of a feature or is within a predetermined distance of another edge fragment, the edge fragment is left in the revised target layout along with any edge fragments that are within an optical diameter of the edge fragment. FIG. 5 illustrates an edge fragment 260 defined between fragmentation endpoints 262, 264 that is included in the revised target layout. In addition, edge fragments 268, 270 and 272 are also included in the revised target layout because these edge fragments are within an optical diameter 276 of the edge fragment 260.


Again returning to FIG. 3B, it is determined if all edge fragments have been analyzed at 160. If not, processing returns to 130 until each edge fragment has been analyzed. At 170, those edge fragments that remain in the target layout are subjected to an optical and process correction (OPC) analysis in order to adjust the position of the edge fragments and improve the fidelity with which they will print on a wafer. In one embodiment, the optical and process correction uses a pre-bias optical and process correction technique as described in U.S. patent application Ser. No. 11/673,515, filed Feb. 9, 2007, which is herein incorporated by reference. The pre-bias OPC technique produces an OPC solution for each edge fragment that is close to that obtained with a more rigorous OPC analysis but takes less time to compute. However, if time and processing power permit, a more rigorous OPC analysis can be performed.


After the OPC analysis, the position of where the edge fragments will print on the wafer is determined. In one embodiment, the edge fragments are analyzed with an optical rule checking (ORC) tool to compute the edge's edge placement error (EPE) i.e. the difference between where the edge fragment will actually print on a wafer versus its intended printing position. In addition, a determination is made of how sensitive the EPE is to variations in certain process conditions such as variations dose and focus of the photolithographic printing system. In one embodiment, the sensitivity can be computed by determining EPE at two or more process variations which include but are not limited to dose, defocus and mask bias and then measuring the difference (ΔEPE) between EPEmax and EPEmin.


Other process variations may include variations in illumination pattern or polarization or MEEF (mask error enhancement factor). Edge fragments are deemed not sensitive if they exhibit a ΔEPE with a value smaller than that specified by the user (typically set by the acceptable variation allowed for devices and wires) and also meet a constraint in which the absolute value of both EPEmax and EPEmin is smaller than user defined EPE threshold reflecting the maximum allowable pattern deviation with respect to the target features. Edge segments that have a small EPE and are insensitive to process variations can be removed from the layout. Those edge segments with a large EPE or that are sensitive to process variations remain in the target layout.


Those sensitive edge fragments that remain in the target layout form the revised target layout that is analyzed with an LFD analysis tool. One example of an LFD analysis tool is described in U.S. Patent Publication 2005/025177A1, assigned to Mentor Graphics Corporation and which is herein incorporated by reference. However, other analysis tools could be used.


If the LFD analysis indicates that an edge fragment will not print as desired, the edge fragment can be marked and included in an error list that is given to the circuit designer at 176 to correct the target layout and/or to vary the process condition parameters.


In view of the many possible embodiments to which the principles of the disclosed invention may be applied, it should be recognized that the illustrated embodiments are only preferred examples of the invention and should not be taken as limiting the scope of the invention. For example, although the disclosed embodiments remove features from the original target layout data to create the revised target layout data prior to performing an LFD or other analysis, it is also possible to mark or tag features and ignore any such tagged or marked feature during the analysis. Conversely, the analysis of the revised layout data could include only features from the original target layout that are tagged or marked. In yet another embodiment, edge fragments are not removed from the target layout but simulation sites for edge fragments are removed so that no analysis by a photolithographic tool takes place for those edge fragments. Therefore, the removal of a feature from a target layout includes both physical removal of the description of a feature or group of features from the target layout and removal from consideration of the features by an analysis tool. Furthermore the disclosed technology is not limited to only applying an LFD analysis on the revised layout. The disclosed technology can also be used to create a revised layout prior to applying other tools such as OPC, ORC or any other photolithographic analysis that takes significant computer time to run.


Therefore, the scope of the invention is defined by the following claims and equivalents thereof.

Claims
  • 1. A method, comprising: with a computer, receiving a set of target layout data that defines a number of features to be printed on a wafer,revising the target layout data to define a reduced number of the features by removing one or more features that have been determined to print correctly, andstoring the revised target layout data for use by a photolithographic analysis tool to determine if the features defined by the revised target layout data will print as desired on a wafer.
  • 2. The method of claim 1, wherein the target layout data is revised by determining one or more features in the target layout that are replicated in the target layout and removing duplicates of the replicated features from the target layout data.
  • 3. The method of claim 1, wherein the act of revising the target layout data comprises: fragmenting at least one of the features into a number of edge fragments and analyzing each edge fragment to determine if1) the edge fragment is part of a feature having a size that is greater than a predefined size;2) the edge fragment is at or adjacent a corner of a feature; and3) the edge fragment is within a predetermined distance of another feature.
  • 4. The method of claim 3, wherein the act of revising the target layout data further comprises removing an edge fragment from the target layout data if the edge fragment is part of a feature having a size that is greater than the predefined size, is not at or adjacent to a corner of a feature, and is not with a predetermined distance to another feature.
  • 5. The method of claim 1, wherein the act of revising the target layout data comprises: fragmenting one or more features in the target layout data into a number of edge fragments; anddetermining an edge placement error (EPE) for the one or more edge fragments.
  • 6. The method of claim 5, further comprising removing edge fragments from the target layout data that have an EPE that is less than an EPE threshold.
  • 7. The method of claim 5, further comprising: determining a sensitivity of the EPEs to one or more process variations.
  • 8. The method of claim 7, further comprising removing edge fragments from the target layout data that have an EPE that is less than the predetermined EPE threshold and a sensitivity that is less than an EPE sensitivity threshold.
  • 9. The method of claim 7, wherein the process variations include variations in dose.
  • 10. The method of claim 7, wherein the process variations include variations in focus.
  • 11. The method of claim 7, wherein the process variations include variations in polarization.
  • 12. The method of claim 7, wherein the process variations include variations in illuminator pattern.
  • 13. The method of claim 7, wherein the process variations include variations in MEEF.
  • 14. One or more computer-readable storage devices storing computer-readable instructions that when executed by a computer system cause the computer system to perform a method, the method comprising: receiving a set of target layout data that defines a number of features to be printed on a wafer;revising the target layout data to define a reduced number of the features by removing one or more features that have been determined to print correctly; andstoring the revised target layout data for use by a photolithographic analysis tool to determine if the features defined by the revised target layout data will print as desired on a wafer.
  • 15. The computer-readable storage devices of claim 14, wherein the act of revising the target layout data comprises determining one or more features in the target layout that are replicated in the target layout and removing duplicates of the replicated features from the target layout data.
  • 16. The computer-readable storage devices of claim 14, wherein the act of revising the target layout data comprises: fragmenting at least one of the features into a number of edge fragments and analyzing each edge fragment to determine if1) the edge fragment is part of a feature having a size that is greater than a predefined size;2) the edge fragment is at or adjacent a corner of a feature; and3) the edge fragment is within a predetermined distance of another feature.
  • 17. The computer-readable storage devices of claim 14, wherein the act of revising the target layout data further comprises removing an edge fragment from the target layout data if the edge fragment is part of a feature having a size that is greater than the predefined size, is not at or adjacent to a corner of a feature, and is not with a predetermined distance to another feature.
  • 18. The computer-readable storage devices of claim 14, wherein the act of revising the target layout data comprises: fragmenting one or more features in the target layout data into a number of edge fragments; anddetermining an edge placement error (EPE) for the one or more edge fragments.
  • 19. The computer-readable storage devices of claim 18, wherein the method further comprises removing edge fragments from the target layout data that have an EPE that is less than an EPE threshold.
  • 20. The computer-readable storage devices of claim 18, wherein the method further comprises determining a sensitivity of the EPEs to one or more process variations.
  • 21. The computer-readable storage devices of claim 20, wherein the method further comprises removing edge fragments from the target layout data that have an EPE that is less than the predetermined EPE threshold and a sensitivity that is less than an EPE sensitivity threshold.
  • 22. The computer-readable storage devices of claim 20, wherein the process variations include variations in dose.
  • 23. The computer-readable storage devices of claim 20, wherein the process variations include variations in focus.
  • 24. The computer-readable storage devices of claim 20, wherein the process variations include variations in polarization.
  • 25. The computer-readable storage devices of claim 20, wherein the process variations include variations in illuminator pattern.
  • 26. The computer-readable storage devices of claim 20, wherein the process variations include variations in MEEF.
  • 27. A method, comprising: with a computer, receiving target layout data that defines one or more features to be printed on a wafer,defining a subset of the features that are not known to print correctly on a wafer, andstoring data for the subset of the features but not storing data for one or more features not in the subset, the stored data being for use by a photolithographic analysis tool.
  • 28. The method of claim 27, wherein the subset is defined by removing cells for at least one of the features from the target layout data.
  • 29. The method of claim 27, wherein the subset is defined by removing polygons from the target layout data.
  • 30. The method of claim 27, wherein the subset is defined by tagging edge fragments in the target layout data.
  • 31. The method of claim 30, wherein the tagged edge fragments are analyzed by the photolithographic analysis tool.
  • 32. The method of claim 30, wherein the tagged edge fragments are not analyzed by the photolithographic analysis tool.
  • 33. The method of claim 27, wherein the subset is revised by removing simulation sites associated with the edge fragments from the target layout data.
  • 34. One or more computer-readable storage devices storing computer-readable instructions that when executed by a computer cause the computer to perform a method, the method comprising: receiving target layout data that defines one or more features to be printed on a wafer;defining a subset of the features that are not known to print correctly on a wafer; andstoring data for the subset of the features but not storing data for one or more features not in the subset, the stored data being for use by a photolithographic analysis tool.
  • 35. The computer-readable storage devices of claim 34, wherein the act of defining the subset comprises removing cells for at least one of the features from the target layout data.
  • 36. The computer-readable storage devices of claim 34, wherein the act of defining the subset comprises removing polygons from the target layout data.
  • 37. The computer-readable storage devices of claim 34, wherein the act of defining the subset comprises tagging edge fragments in the target layout data.
  • 38. The computer-readable storage devices of claim 37, wherein the method further comprises analyzing the tagged edge fragments by the photolithographic analysis tool.
  • 39. The computer-readable storage devices of claim 37, wherein the tagged edge fragments are not analyzed by the photolithographic analysis tool.
  • 40. The computer-readable storage devices of claim 34, wherein the subset of is revised by removing simulation sites associated with the edge fragments from the target layout data.
CROSS REFERENCE TO RELATED APPLICATION

This Application claims the benefit of priority under 35 U.S.C. §119 to U.S. Provisional Application No. 60/857,958 filed on Nov. 9, 2006, which is incorporated herein by reference in its entirety.

US Referenced Citations (107)
Number Name Date Kind
4532650 Wihl et al. Jul 1985 A
4762396 Dumant et al. Aug 1988 A
5396584 Lee et al. Mar 1995 A
5502654 Sawahata Mar 1996 A
5558963 Tsudaka et al. Sep 1996 A
5655110 Krivokapic et al. Aug 1997 A
5723233 Garza et al. Mar 1998 A
5723235 Tsudaka et al. Mar 1998 A
5815685 Kamon Sep 1998 A
5825647 Tsudaka Oct 1998 A
5879844 Yamamoto et al. Mar 1999 A
5991006 Tsudaka Nov 1999 A
6016357 Neary et al. Jan 2000 A
6033814 Burdorf et al. Mar 2000 A
6042257 Tsudaka Mar 2000 A
6049660 Ahn et al. Apr 2000 A
6077310 Yamamoto et al. Jun 2000 A
6080527 Huang et al. Jun 2000 A
6120952 Pierrat et al. Sep 2000 A
6128067 Hashimoto Oct 2000 A
6187483 Capodieci et al. Feb 2001 B1
6243855 Kobayashi et al. Jun 2001 B1
6249904 Cobb Jun 2001 B1
6263299 Aleshin et al. Jul 2001 B1
6269472 Garza et al. Jul 2001 B1
6301697 Cobb Oct 2001 B1
6317859 Papadopoulou Nov 2001 B1
6415421 Anderson et al. Jul 2002 B2
6425113 Anderson et al. Jul 2002 B1
6430737 Cobb et al. Aug 2002 B1
6453457 Pierrat et al. Sep 2002 B1
6467076 Cobb Oct 2002 B1
6470489 Chang et al. Oct 2002 B1
6487503 Inui Nov 2002 B2
6499003 Jones et al. Dec 2002 B2
6574784 Lippincott et al. Jun 2003 B1
6643616 Granik et al. Nov 2003 B1
6649309 Mukherjee Nov 2003 B2
6665856 Pierrat et al. Dec 2003 B1
6668367 Cobb et al. Dec 2003 B2
6718526 Eldredge et al. Apr 2004 B1
6748578 Cobb Jun 2004 B2
6778695 Schellenberg et al. Aug 2004 B1
6792590 Pierrat et al. Sep 2004 B1
6815129 Bjorkholm et al. Nov 2004 B1
6817003 Lippincott et al. Nov 2004 B2
6857109 Lippincott Feb 2005 B2
6862726 Futatsuya et al. Mar 2005 B2
6887633 Tang May 2005 B2
6928634 Granik et al. Aug 2005 B2
6973633 Lippincott et al. Dec 2005 B2
6989229 Lucas et al. Jan 2006 B2
7010776 Gallatin et al. Mar 2006 B2
7013439 Robles et al. Mar 2006 B2
7017141 Anderson et al. Mar 2006 B2
7024655 Cobb Apr 2006 B2
7028284 Cobb et al. Apr 2006 B2
7047516 Futatsuya May 2006 B2
7073162 Cobb et al. Jul 2006 B2
7155689 Pierrat et al. Dec 2006 B2
7155699 Cobb Dec 2006 B2
7172838 Maurer et al. Feb 2007 B2
7181721 Lippincott et al. Feb 2007 B2
7194725 Lukanc et al. Mar 2007 B1
7237221 Granik et al. Jun 2007 B2
7240305 Lippincott Jul 2007 B2
7240321 Cobb et al. Jul 2007 B2
7281234 Lippincott Oct 2007 B2
7293249 Robles et al. Nov 2007 B2
7324930 Cobb Jan 2008 B2
7367009 Cobb et al. Apr 2008 B2
7378202 Granik et al. May 2008 B2
7392168 Granik et al. Jun 2008 B2
20010018759 Andreev et al. Aug 2001 A1
20010049811 Taoka Dec 2001 A1
20020026626 Randal et al. Feb 2002 A1
20020094680 Lin Jul 2002 A1
20030037309 Utsunomiya Feb 2003 A1
20030134205 Yu Jul 2003 A1
20030208728 Pierrat Nov 2003 A1
20040128118 Croffie et al. Jul 2004 A1
20040268282 Rittman et al. Dec 2004 A1
20050050490 Futatsuya et al. Mar 2005 A1
20050149901 Tang Jul 2005 A1
20050177810 Heng et al. Aug 2005 A1
20050229125 Tabery et al. Oct 2005 A1
20050251771 Robles Nov 2005 A1
20050278686 Word et al. Dec 2005 A1
20060005154 Cobb et al. Jan 2006 A1
20060074611 Wong et al. Apr 2006 A1
20060188796 Word Aug 2006 A1
20060199084 Word Sep 2006 A1
20060200790 Shang et al. Sep 2006 A1
20060240342 Tang Oct 2006 A1
20070006118 Pierrat et al. Jan 2007 A1
20070074143 Cobb et al. Mar 2007 A1
20070118826 Lippincott May 2007 A1
20070124708 Robles et al. May 2007 A1
20070204242 Brunet et al. Aug 2007 A1
20070204256 Brunet et al. Aug 2007 A1
20070240086 Sinha et al. Oct 2007 A1
20080148217 Park Jun 2008 A1
20080166639 Park et al. Jul 2008 A1
20080195996 Robles et al. Aug 2008 A1
20080256500 Cobb et al. Oct 2008 A1
20090132980 Sinha et al. May 2009 A1
20090178018 Torres Robles et al. Jul 2009 A1
Foreign Referenced Citations (8)
Number Date Country
07-175204 Jul 1995 JP
09-319067 Dec 1997 JP
2001-350250 Dec 2001 JP
2002-131882 May 2002 JP
2004-502961 Jan 2004 JP
WO 9914637 Mar 1999 WO
WO 9914638 Mar 1999 WO
WO 0165315 Jul 2001 WO
Related Publications (1)
Number Date Country
20080141195 A1 Jun 2008 US
Provisional Applications (1)
Number Date Country
60857958 Nov 2006 US