Based sampling and binning for yield critical defects

Information

  • Patent Grant
  • 9310320
  • Patent Number
    9,310,320
  • Date Filed
    Friday, April 11, 2014
    10 years ago
  • Date Issued
    Tuesday, April 12, 2016
    8 years ago
Abstract
Methods and systems for design based sampling and binning for yield critical defects are provided. One method includes aligning each image patch in each inspection image frame generated for a wafer by an optical subsystem of an inspection system to design information for the wafer. The method also includes deriving multiple layer design attributes at locations of defects detected in the image patches. In addition, the method includes building a decision tree with the multiple layer design attributes. The decision tree is used to separate the defects into bins with different yield impacts on a device being formed on the wafer. The method also includes binning the defects with the decision tree.
Description
BACKGROUND OF INVENTION

1. Field of the Invention


This invention generally relates to design based sampling and binning for yield critical defects.


2. Description of the Related Art


The following description and examples are not admitted to be prior art by virtue of their inclusion in this section.


An integrated circuit (IC) design may be developed using a method or system such as electronic design automation (EDA), computer aided design (CAD), and other IC design software. Such methods and systems may be used to generate the circuit pattern database from the IC design. The circuit pattern database includes data representing a plurality of layouts for various layers of the IC. Data in the circuit pattern database may be used to determine layouts for a plurality of reticles. A layout of a reticle generally includes a plurality of polygons that define features in a pattern on the reticle. Each reticle is used to fabricate one of the various layers of the IC. The layers of the IC may include, for example, a junction pattern in a semiconductor substrate, a gate dielectric pattern, a gate electrode pattern, a contact pattern in an interlevel dielectric, and an interconnect pattern on a metallization layer.


The term “design data” as used herein generally refers to the physical design (layout) of an IC and data derived from the physical design through complex simulation or simple geometric and Boolean operations.


Fabricating semiconductor devices such as logic and memory devices typically includes processing a substrate such as a semiconductor wafer using a large number of semiconductor fabrication processes to firm various features and multiple levels of the semiconductor devices. For example, lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing (CMP), etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated in an arrangement on a single semiconductor wafer and then separated into individual semiconductor devices.


Inspection processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to promote higher yield in the manufacturing process and thus higher profits. Inspection has always been an important part of fabricating semiconductor devices such as ICs. However, as the dimensions of semiconductor devices decrease, inspection becomes even more important to the successful manufacture of acceptable semiconductor devices because smaller defects can cause the devices to fail.


As design rules shrink, however, semiconductor manufacturing processes may be operating closer to the limitations on the performance capability of the processes. In addition, smaller defects can have an impact on the electrical parameters of the device as the design rules shrink, which drives more sensitive inspections. Therefore, as design rules shrink, the population of potentially yield relevant defects detected by inspection grows dramatically, and the population of nuisance defects detected by inspection also increases dramatically. Therefore, more and more defects may be detected on the wafers, and correcting the processes to eliminate all of the defects may be difficult and expensive.


In trying to maximize the sensitivity of the inspection system to capture subtle spatially systematic “design-for-manufacturability” (DFM) defects resulting from design and process interdependencies, the system may be overwhelmed by millions of events in non-critical areas such as CMP fill regions. Detecting such nuisance defects is disadvantageous for a number of reasons. For example, these nuisance events need to be filtered out of the inspection results by post-processing of the inspection data. In addition, nuisance event detection limits the ultimate achievable sensitivity of the inspection system for DFM applications. A high rate of nuisance defect data may also overload the run time data processing capacity of the inspection system thereby reducing throughput and/or causing the loss of data.


Accordingly, it would be advantageous to develop methods and/or systems for wafer inspection-related applications that do not have one or more of the disadvantages described above.


SUMMARY OF THE INVENTION

The following description of various embodiments is not to be construed in any way as limiting the subject matter of the appended claims.


One embodiment relates to a method for wafer inspection. The method includes scanning a wafer with an inspection system thereby generating image patches in inspection image frames for the wafer. The scanning step is performed with an optical subsystem of the inspection system. The method also includes aligning each of the image patches in each of the inspection image frames to design information for the wafer. In addition, the method includes detecting defects in the image patches. The method further includes deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects. The method also includes building a decision tree with the multiple layer design attributes. The decision tree is used to separate the defects into bins with different yield impacts on a device being formed on the wafer. The method further includes binning the defects with the decision tree. The aligning, detecting, deriving, building, and binning steps are performed with one or more computer subsystems of the inspection system.


The method described above may be performed as described further herein. In addition, the method described above may include any other step(s) of any other method(s) described herein. Furthermore, the method described above may be performed by any of the systems described herein.


Another embodiment relates to a non-transitory computer-readable medium storing program instructions executable on a computer system of an inspection system for performing a computer-implemented method for wafer inspection. The computer-implemented method includes the steps of the method described above. The computer-readable medium may be further configured as described herein. The steps of the computer-implemented method may be performed as described further herein. In addition, the computer-implemented method for which the program instructions are executable may include any other step(s) of any other method(s) described herein.


An additional embodiment relates to a wafer inspection system. The system includes an optical subsystem configured to scan a wafer thereby generating image patches in inspection image frames for the wafer. The system also includes one or more computer subsystems coupled to the optical subsystem. The one or more computer subsystems are configured for aligning each of the image patches in each of the inspection image frames to design information for the wafer and detecting defects in the image patches. The computer subsystem(s) are also configured for deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects. In addition, the computer subsystem(s) are configured for building a decision tree with the multiple layer design attributes. The decision tree is used to separate the defects into bins with different yield impacts on a device being formed on the wafer. The computer subsystem(s) are further configured for binning the defects with the decision tree. The wafer inspection system may be further configured as described herein.





BRIEF DESCRIPTION OF THE DRAWINGS

Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which:



FIG. 1 is a block diagram illustrating one embodiment of a non-transitory computer-readable medium storing program instructions executable on a computer system of an inspection system for performing one or more of the computer-implemented methods described herein; and



FIG. 2 is a schematic diagram illustrating a side view of one embodiment of a wafer inspection system.





While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.


DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

Turning now to the drawings, it is noted that the figures are not drawn to scale. In particular, the scale of some of the elements of the figures is greatly exaggerated to emphasize characteristics of the elements. It is also noted that the figures are not drawn to the same scale. Elements shown in more than one figure that may be similarly configured have been indicated using the same reference numerals. Unless otherwise noted herein, any of the elements described and shown may include any suitable commercially available elements.


The embodiments described herein are configured for design based sampling and binning for yield critical defects. Defect detection that is relevant for yield control is the ultimate goal of in-line inspection. To achieve this goal, inspection recipes tend to focus on maximizing defect of interest (DOI) capture at a reasonable nuisance rate. A “nuisance” or “nuisance defect” is a term commonly used in the art to refer to a potential defect that is detected on a wafer, but that is not an actual defect that is of interest to a user. In this manner, a “nuisance defect” may simply be noise on the wafer that is detected by inspection, which is not representative of any actual defect on the wafer, or an actual defect that the user does not care about.


Binning and sampling from optical inspection are getting more complex due to shrinking design rules and smaller defect sizes. Existing inspection technologies depend on appearance of defects in an optical image. Attributes such as size, detection threshold, intensity, energy, and background are calculated for each detected defect. Decision trees, user defined or canned, are based on calculated attributes to achieve binning and sampling. However, due to limited resolution in optical inspection, the DOIs and nuisance often look alike optically, which makes nuisance filtering, binning, and sampling difficult.


Lately design based inspection and binning (e.g., context based inspection (CBI) and design based binning (DBB)) have been effective in filtering nuisance from the non-critical patterns. For example, design based care areas (such as may be used in CBI) and DBB enable inspection and binning on critical patterns to reduce nuisance dramatically.


However, the ability to sample, bin, and monitor defects from yield relevant patterns of interest is critical. In addition, although design based inspection results in significantly reduced nuisance, sampling and binning yield relevant defects from in-line inspection remains a challenge. For example, CBI inspects critical patterns that users are interested in, although the implication of the patterns to yield can be different. DBB is capable of binning defects from multiple layers. However, lack of location accuracy allows using only design attributes such as pattern density.


Yield relevant sampling and binning are extremely critical and needed to produce effective inspection results. As described further herein, it can be achieved by the embodiments described herein with multiple layers of design, prior and/or post to the inspection layer. In addition, the embodiments described herein can be used to separate defects that are the same type of DOIs, but have different yield implications.


One embodiment relates to a method for wafer inspection that includes scanning a wafer with an inspection system thereby generating image patches in inspection image frames for the wafer. The scanning step is performed with an optical subsystem of the inspection system. The optical subsystem and the inspection system may be configured as described further herein. In addition, scanning the wafer may be performed as described further herein. An “image patch” can be defined as a relatively small portion of an entire inspection image frame generated for the wafer during the scanning. The inspection image frames may be separated into any number of image patches in any arrangement within the image frames. Generally, an “inspection image frame” is a relatively small portion of the entire image generated for the wafer during the scanning that is or can be processed at the same time (e.g., for defect detection) by one or more computer subsystems of the inspection system.


The embodiments described herein are configured for design to optical alignment for each image frame. For example, the method includes aligning each of the image patches in each of the inspection image frames to design information for the wafer. In this manner, the coordinates of locations in the image patches can be determined in design space or in design data coordinates. Aligning the design with the optical patches may be performed in any suitable manner such as by pattern matching. Aligning design with optical patches at every inspection image frame achieves the location accuracy that enables the embodiments described herein. For example, the embodiments described herein require extremely high defect location accuracy for them to be effective. Therefore, the embodiments described herein are also preferably used with inspection systems and methods that produce the best defect location accuracy, which at the moment happens to be CBI performed by the 29xx series of took commercially available from KLA-Tencor, Milpitas, Calif.


In one embodiment, the aligning step includes selecting an alignment site in each of the inspection image frames with both horizontal and vertical features. Identifying and selecting the horizontal and vertical features may be performed using the design data for the wafer and/or images generated for the wafer by the inspection system. “Vertical features” may be any features in the design that can be used to align the image patches in a vertical direction, while “horizontal features” may be any features in the design that can be used to align the image patches in a horizontal direction. The horizontal and vertical directions are the x and y directions in the plane of the wafer. Including vertical and horizontal features in each of the alignment sites increases the accuracy with which the image patches can be aligned to the design.


The aligning step may also include rendering the design and alignment between the rendered design and optical images. For example, in one embodiment, the aligning step includes rendering simulated images from design data for the wafer that illustrate how the design data would appear in the image patches generated for the wafer by the inspection system, and the design information used in the aligning step includes the rendered simulated images. Simulating the images may include simulating how structures in the design data would be formed on the wafer and then simulating how the structures formed on the wafer would appear in images generated by the inspection system. In this manner, the simulating step may be performed based on the parameters and processes involved in the wafer fabrication process as well as the parameters involved in imaging the wafer in the inspection process. Such simulations may be performed in any suitable manner.


The method also includes deriving multiple layer design attributes at the defect locations from the optical patch. For example, the method includes detecting defects in the image patches, which may be performed using any suitable defect detection algorithm(s) and/or method(s) known in the art. In one such example, detecting the defects may include subtracting a reference from the image patches thereby generating difference images and comparing a characteristic of the difference images (e.g., intensity) to a threshold. Characteristics above the threshold may be identified as corresponding to a potential defect while characteristics below the threshold may be identified as not corresponding to a potential defect.


The method also includes deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects. For example, the image patches are aligned to design as described further herein, and the defect locations within the image patches can be determined in the detecting step described above. Therefore, the defect locations can be determined in design data space from the design data space coordinates of the image patches determined in the aligning step. As such, the multiple layer design attributes at the design data space coordinates of the defects can be determined.


As described further herein, the multiple layer design attributes may include design attributes for a layer of the wafer for which the image patches are generated and at least one additional layer of the wafer formed before the layer. In this manner, the design attributes may be determined based on the layer being inspected (or the “current layer” of the wafer) as well as any one or more layers formed under the layer being inspected. In one such example, if the current layer is the metal 2 (M2) layer, the additional layer(s) may include the metal 1 (M1) layer. In another embodiment, the multiple layer design attributes include design attributes for a layer of the wafer for which the image patches are generated and at least one additional layer of the wafer not yet formed on the wafer. In this manner, the design attributes may be determined based on the layer being inspected as well as any one or more layers that will be formed after the layer being inspected has been formed. In the M2 example described above, the additional layer(s) may include the metal 3 (M3) layer. The embodiments described herein may, therefore, use multiple layers of design, prior and/or post current inspection layer, to produce yield relevant sampling and binning.


The multiple layer design attributes can be used to determine a variety of information about the wafer. For example, in one embodiment, the multiple layer design attributes include information for which areas of the wafer are N-type metal-oxide-semiconductor (NMOS) and which areas of the wafer are P-type MOS (PMOS). In another embodiment, the multiple layer design attributes include information for which areas of the wafer are dummy areas and which areas of the wafer are not dummy areas. In an additional embodiment, the multiple layer design attributes include information for which areas of the wafer include dummy structures and which areas of the wafer include device structures. For example, the multiple layer design attributes may indicate if an area of the wafer includes a dummy gate or a device gate. In a further embodiment, the multiple layer design attributes include information for which areas of the wafer include redundant structures and which areas of the wafer include non-redundant structures. For example, the multiple layer design attributes may indicate if an area of the wafer includes a redundant via or a non-redundant via.


The method further includes building a decision tree with the multiple layer design attributes. The decision tree is used to separate the defects into bins with different yield impacts on a device being formed on the wafer. The configuration and format of the decision tree may vary depending on the classification software and/or method that will use the decision tree. One example of suitable classification software is the iDO software that is commercially available from KLA-Tencor. In such an example, the method may include building an iDO tree with multiple layer design attributes to separate the detected events into bins with different yield impacts.


The decision tree is built such that defects that are one type of DOI and have a first of the different yield impacts are separated into a first of the bins and the defects that are the one type of the DOI and have a second of the different yield impacts are separated into a second of the bins. For example, in current binning methods, defects that are located in or near approximately the same geometrical features in the design and that have roughly the same characteristics would be binned together, either as a type of DOI or a type of nuisance. However, defects that are of the same type (meaning the defects themselves have roughly the same characteristics) and are located in the same type of geometry may have different effects on yield based on other characteristics of the design printed on the wafer. These other characteristics may include any of the multiple layer design attributes described herein such as the type of MOS in which they are located, whether they are located in a dummy area, whether they are located in or near a dummy structure, and whether they are located in or near redundant features.


In a first such example, in the case of shallow trench isolation (STI) etch in which planar transistors are formed on a wafer, the embodiments described herein can be used to separate defects that have a greater impact on yield from defects that have a lower impact on yield. In particular, a defect such as an intrusion that is located in the PMOS area of the layer will be more critical than the same defect located in the NMOS area of the layer. The reason for the difference in the criticality is that since electrons travel two times faster than holes, the PMOS area is half the size of the NMOS area. Therefore, the NMOS area can have two contacts for every one contact included in the PMOS area. As such, the NMOS area has better redundancy and therefore is less critical to yield than the PMOS area. In the embodiments described herein, therefore, the defects that are located in PMOS areas can be separated from the defects that are located in NMOS areas thereby separating the defects based on their yield criticality.


In another such example of STI etch, two bridging defects having substantially the same characteristics (e.g., size, etc.) may be detected in the same type of structures in a first mask used for the STI etch. However, when the locations of those defects are compared to locations of structures in a post etch mask that will be used to form the next layer on the wafer, one of the defects may be located within device features while the other defect may be located within dummy features. As such, the defects detected on one layer of the wafer may appear to have the same yield criticality when only the design information for that one layer is used to bin the defects. However, when the defects detected on one layer of the wafer are binned based on the defect information from inspection of that one layer in combination with design information from another layer yet to be formed on the wafer, the defects can be separated in a more meaningful manner for yield relevance.


In an additional such example, in the case of gate etching used to form planar transistors, some of the gates included in the layer may be dummy gates while other gates may be active, device gates. Therefore, without considering which gates are dummy gates and which are not, the design information for all gates may appear to be the same and therefore defects that are located on or in any of the gates may be binned together even though they may have dramatically different impacts on yield. However, the embodiments described herein may be used to bin defects based on if they are located on or near a dummy structure thereby separating defects that have no or little yield relevance from defects that have some or great yield relevance.


In another such example, the embodiments described herein may be used for more effective binning of defects detected in a middle of line (MOL) contact layer. For example, some bridging defects may be redundant if they are on the same gate. Therefore, such bridging defects will not even present a timing or reliability issue for devices being fabricated on the wafer. As such, using information about the MOL structure and the locations of defects with respect to that structure can be used to separate defects based on their yield criticalities.


In an additional such example, two defects that have the same characteristics and are located adjacent to vias in the layer of the wafer being inspected may be binned separately in the embodiments described herein if one of the defects is located adjacent to a via that is redundant and if the other of the defects is located adjacent to a via that is not redundant. Therefore, since one of the defects may have an effect on yield (the defect adjacent to the non-redundant via) and the other of the defects will not have an effect on yield (the defect adjacent to the redundant via), the embodiments described herein provide more yield relevant defect information to users of the wafer inspection systems.


In a further such example, some devices may have some back end of line (BEOL) redundancy. In this manner, a metal short may not always be a killer defect because of design redundancy. In other words, in areas that have BEOL redundancy, bridging will not cause a chip to fail. However, bridging defects located in areas that do not have BEOL redundancy may cause the chip to fail. Therefore, separating defects based on whether they are located in areas that have BEOL redundancy or not results in defects being separated into different bins having different yield criticalities.


The method also includes binning the defects with the decision tree. Binning the defects with the decision tree may be performed in any suitable manner. In addition, the information generated by the binning step may be output in any suitable format and may be stored in any of the storage media described herein.


In one embodiment, the decision tree is used to bin defects detected on different wafers on which different devices are being formed. In another embodiment, the decision tree is used to bin defects detected on different wafers for which the scanning step was performed with different optics modes of the inspection system. In an additional embodiment, the decision tree is independent of an optics mode of the inspection system used for the scanning. For example, currently, optical patch based binning and sampling trees are inspection optics mode and layer dependent. With a new device being fabricated on a wafer, the tree often needs to be tweaked to maintain a reasonable result. However, with a tree built from design attributes such as those described herein, it is transferable from device to device and is independent from optics mode selected for inspection. In addition, the multiple layer design attribute based binning and/or classification may be used as a complement to current scanning electron microscope (SEM) classification, which is limited to information from the current layer only.


In another embodiment, the method includes sampling the defects detected on the wafer based on results of the binning step. In this manner, the embodiments described herein can be used for design based yield relevant sampling. The embodiments described herein can be used for sampling for defect discovery (i.e., determining the kinds of defects that are present on the wafer). Sampling the defects from the results of the binning step described herein is advantageous because since different bins correspond to different yield impacts of the defects, the defects that have a greater impact on yield can be sampled more heavily than those that have a lesser impact on yield. In one such example, in the case of STI etch described above, active preferential sampling may be performed based on the results of the binning step such that defects detected in PMOS areas are sampled more heavily than defects detected in NMOS areas. In another such example, in the case of vias described above, defects detected in vias that have no redundancy may be sampled with a higher sampling priority.


One of the main challenges today for the inspection industry is to reduce the time to produce a yield relevant result, which is extremely difficult in defect discovery since the current sampling algorithms are mainly based on optical attributes of the defects without any direct link to yield. The embodiments described herein, however, provide an approach to achieve binning and sampling based on potential yield impact, which is enabled by maximum defect location accuracy through pattern to design alignment within each image frame.


In an additional embodiment, the method includes monitoring the defects detected on the wafer based on results of the binning step. Monitoring the defects may be performed in any manner to determine if the defects being formed on wafers change over some interval of time or wafer. In a further embodiment, the method is performed inline during a fabrication process performed on the wafer. For example, the method may be performed during or after a step of the fabrication process has been performed on the wafer.


In another embodiment, the method includes determining an effect that the defects detected on the wafer have on yield of a fabrication process performed on the wafer based on results of the binning. In the embodiments described herein, the design not only from prior and current layers, but also from future layers may be used to predict the yield impact of defects detected in any inspection process at any stage of the wafer fabrication process. For example, with the use of future layer design information, the potential impact to yield can be obtained without completing all the manufacturing steps on the wafer. In this manner, the embodiments described herein can be used for inline yield estimation.


The aligning, detecting, deriving, building, and binning steps are performed with one or more computer subsystems of the inspection system, which may be configured as described further herein.


Each of the embodiments of the methods described above may include any other step(s) of any other method(s) described herein. Furthermore, each of the embodiments of the methods described above may be performed by any of the systems described herein.


All of the methods described herein may include storing results of one or more steps of the method embodiments in a non-transitory computer-readable storage medium. The results may include any of the results described herein and may be stored in any manner known in the art. The storage medium may include any storage medium described herein or any other suitable storage medium known in the art. After the results have been stored, the results can be accessed in the storage medium and used by any of the method or system embodiments described herein, formatted for display to a user, used by another software module, method, or system, etc. For example, after the method detects the defects, the method may include storing information about the detected defects in a storage medium.


An additional embodiment relates to a non-transitory computer-readable medium storing program instructions executable on a computer system of an inspection system for performing a computer-implemented method for wafer inspection. One such embodiment is shown in FIG. 1. In particular, as shown in FIG. 1, non-transitory computer-readable medium 100 includes program instructions 102 executable on computer system 104. The computer-implemented method includes the steps of the method described above. The computer-implemented method for which the program instructions are executable may include any other step(s) described herein.


Program instructions 102 implementing methods such as those described herein may be stored on computer-readable medium 100. The computer-readable medium may be a storage medium such as a magnetic or optical disk, a magnetic tape, or any other suitable non-transitory computer-readable medium known in the art.


The program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the program instructions may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired.


The computer system may take various forms, including a personal computer system, image computer, mainframe computer system, workstation, network appliance, Internet appliance, or other device. In general, the term “computer system” may be broadly defined to encompass any device having one or more processors, which executes instructions from a memory medium. The computer system may also include any suitable processor known in the art such as a parallel processor. In addition, the computer system may include a computer platform with high speed processing and software, either as a standalone or a networked tool.


Another embodiment relates to a wafer inspection system. One embodiment of such an inspection system is shown in FIG. 2. The wafer inspection system includes an optical subsystem configured to scan a wafer thereby generating image patches in inspection image frames for the wafer. For example, as shown in FIG. 2, the wafer inspection system includes optical subsystem 200.


As shown in FIG. 2, the optical subsystem includes light source 204. Light source 204 may include any suitable light source known in the art such as a laser. Light source 204 is configured to direct light to beam splitter 206, which is configured to reflect the light from light source 204 to refractive optical element 208. Refractive optical element 208 is configured to focus light from beam splitter 206 to wafer 210. Beam splitter 206 may include any suitable beam splitter such as a 50/50 beam splitter. Refractive optical element 208 my include any suitable refractive optical element, and although refractive optical element 208 is shown in FIG. 2 as a single refractive optical element, it may be replaced with one or more refractive optical elements and/or one or more reflective optical elements.


Light source 204, beam splitter 206, and refractive optical element 208 may, therefore, form an illumination channel for the optical subsystem. The illumination channel may include any other suitable elements (not shown in FIG. 2) such as one or more polarizing components and one or more filters such as spectral filters. As shown in FIG. 2, the light source, beam splitter, and refractive optical element are configured such that the light is directed to the wafer at a normal or substantially normal angle of incidence. However, the light may be directed to the wafer at any other suitable angle of incidence.


The optical subsystem may be configured to scan the light over the wafer in any suitable manner.


Light reflected from wafer 210 due to illumination may be collected by refractive optical element 208 and directed through beam splitter 206 to detector 212. Therefore, the refractive optical element, beam splitter, and detector may form a detection channel of the optical subsystem. The detector may include any suitable imaging detector known in the art such as a charge coupled device (CCD). This detection channel may also include one or more additional components (not shown in FIG. 2) such as one or more polarizing components, one or more spatial filters, one or more spectral filters, and the like. Detector 212 is configured to generate output that is responsive to the reflected light detected by the detector. The output may include signals, signal data, images, image data, and any other suitable output.


As described above, the detector included in the optical subsystem may be configured to detect light reflected from the wafer. Therefore, the detection channel included in the optical subsystem may be configured as a bright field (BF) channel. However, the optical subsystem may include one or more detection channels (not shown) that may be used to detect light scattered from the wafer due to illumination of the wafer. In addition, one or more parameters of the detection channel shown in FIG. 2 may be altered such that the detection channel detects light scattered from the wafer. In this manner, the optical subsystem may be configured as a dark field (DF) tool and/or a BF tool.


The wafer inspection system also includes one or more computer subsystems coupled to the optical subsystem. For example, the computer subsystem(s) may be coupled to a detector of the optical subsystem. In one such example, as shown in FIG. 2, computer system 214 is coupled to detector 212 of optical subsystem 200 (e.g., by one or more transmission media shown by the dashed lines in FIG. 2, which may include any suitable transmission media known in the art). The computer system may be coupled to the detector in any suitable manner. The computer system may be coupled to the optical subsystem in any other suitable manner such that image(s) and any other information for the wafer generated by the optical subsystem can be sent to the computer system and, optionally, such that the computer system can send instructions to the optical subsystem to perform one or more steps described herein.


Computer system 214 is configured for aligning each of the image patches in each of the inspection image frames to design information for the wafer and detecting defects in the image patches. The computer system is also configured for deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects. In addition, the computer system is configured for building a decision tree with the multiple layer design attributes. The decision tree is used to separate the defects into bins with different yield impacts on a device being formed on the wafer. The computer system is further configured for binning the defects with the decision tree. Each of these steps may be performed as described further herein. In addition, the computer system may be configured to perform any other step(s) described herein. The wafer inspection system shown in FIG. 2 may be further configured as described herein.


It is noted that FIG. 2 is provided herein to generally illustrate one configuration of an optical subsystem that may be included in the wafer inspection system embodiments described herein. Obviously, the configuration of the optical subsystem described herein may be altered to optimize the performance of the inspection system as is normally performed when designing a commercial inspection system. In addition, the wafer inspection systems described herein may be implemented using an existing optical subsystem by adding functionality described herein to an existing inspection is system) such as the 28XX, 29XX, and Puma 9XXX series of tools that are commercially available from KLA-Tencor, Milpitas, Calif. For some such inspection systems, the methods described herein may be provided as optional functionality of the inspection system (e.g., in addition to other functionality of the inspection system). Alternatively, the wafer inspection systems described herein may be designed “from scratch” to provide a completely new inspection system.


Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. For example, methods and systems for design based sampling and binning for yield critical defects are provided. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.

Claims
  • 1. A method for wafer inspection, comprising: scanning a wafer with an inspection system thereby generating image patches in inspection image frames for the wafer, wherein the scanning step is performed with an optical subsystem of the inspection system;aligning each of the image patches in each of the inspection image frames to design information for the wafer;detecting defects in the image patches;deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects;building a decision tree with the multiple layer design attributes, wherein the decision tree separates the defects into bins with different yield impacts on a device being formed on the wafer, and wherein the decision tree is built such that the defects that are one type of defects of interest and have a first of the different yield impacts are separated into a first of the bins and defects that are the one type of the defects of interest and have a second of the different yield impacts are separated into a second of the bins; andbinning the defects with the decision tree to thereby separate the defects into the bins with the different yield impacts on the device being formed on the wafer and to separate the one type of the defects of interest having the first of the different yield impacts into the first of the bins and the one type of the defects of interest having the second of the different yield impacts into the second of the bins, wherein the aligning, detecting, deriving, building, and binning steps are performed with one or more computer subsystems of the inspection system.
  • 2. The method of claim 1, wherein the multiple layer design attributes comprise design attributes for a layer of the wafer for which the image patches are generated and at least one additional layer of the wafer formed before the layer.
  • 3. The method of claim 1, wherein the multiple layer design attributes comprise design attributes for a layer of the wafer for which the image patches are generated and at least one additional layer of the wafer not yet formed on the wafer.
  • 4. The method of claim 1, wherein said aligning comprises selecting an alignment site in each of the inspection image flames with both horizontal and vertical features.
  • 5. The method of claim 1 , wherein said aligning comprises rendering simulated images from design data for the wafer that illustrate how the design data would appear in the image patches generated for the wafer by the inspection system, and wherein the design information comprises the rendered simulated images.
  • 6. The method of claim 1, wherein the multiple layer design attributes comprise information for which areas of the wafer are NMOS and which areas of the wafer are PMOS.
  • 7. The method of claim 1, wherein the multiple layer design attributes comprise information for which areas of the wafer are dummy areas and which areas of the wafer are not dummy areas.
  • 8. The method of claim 1 , wherein the multiple layer design attributes comprise information for which areas of the wafer comprise dummy structures and which areas of the wafer comprise device structures.
  • 9. The method of claim 1, wherein the multiple layer design attributes comprise information for which areas of the wafer comprise redundant structures and which areas of the wafer comprise non-redundant structures.
  • 10. The method of claim 1, further comprising sampling the defects detected on the wafer based on results of the binning step.
  • 11. The method of claim 1, further comprising monitoring the defects detected on the wafer based on results of the binning step.
  • 12. The method of claim 1, wherein the method is performed inline during a fabrication process performed on the wafer.
  • 13. The method of claim 1, further comprising binning defects detected on different wafers on which different devices are being formed with the decision tree.
  • 14. The method of claim 1, further comprising binning defects detected on different wafers for which the scanning step was performed with different optics modes of the inspection system with the decision tree.
  • 15. The method of claim 1, wherein the decision tree is independent of an optics mode of the inspection system used for the scanning.
  • 16. The method of claim 1, further comprising determining an effect that the defects detected on the wafer have on yield of a fabrication process performed on the wafer based on results of the binning.
  • 17. A non-transitory computer-readable medium, storing program instructions executable on a computer system of an inspection system for performing a computer-implemented method for wafer inspection, wherein the computer-implemented method comprises: scanning a wafer with an inspection system thereby generating image patches in inspection image frames for the wafer, wherein the scanning step is performed with an optical subsystem of the inspection system;aligning each of the image patches in each of the inspection image frames to design information for the wafer;detecting defects in the image patches;deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects;building a decision tree with the multiple layer design attributes, wherein the decision tree separates the defects into bins with different yield impacts on a device being formed on the wafer, and wherein the decision tree is built such that the defects that are one type of defects of interest and have a first of the different yield impacts are separated into a first of the bins and the defects that are the one type of the defects of interest and have a second of the different yield impacts are separated into a second of the bins; andbinning the defects with the decision tree to thereby separate the defects into the bins with the different yield impacts on the device being formed on the wafer and to separate the one type of the defects of interest having the first of the different yield impacts into the first of the bins and the one type of the defects of interest having the second of the different yield impacts into the second of the bins.
  • 18. A wafer inspection system, comprising: an optical subsystem configured to scan a wafer thereby generating image patches in inspection image frames for the wafer; andone or more computer subsystems coupled to the optical subsystem, wherein the one or more computer subsystems are configured for: aligning each of the image patches in each of the inspection image frames to design information for the wafer;detecting defects in the image patches;deriving multiple layer design attributes at locations of the defects from the image patches corresponding to the locations of the defects;building a decision tree with the multiple layer design attributes, wherein the decision tree separates the defects into bins with different yield impacts on a device being formed on the wafer, and wherein the decision tree is built such that the defects that are one type of defects of interest and have a first of the different yield impacts are separated into a first of the bins and the defects that are the one type of the defects of interest and have a second of the different yield impacts are separated into a second of the bins; andbinning the defects with the decision tree to thereby separate the defects into the bins with the different yield impacts on the device being formed on the wafer and to separate the one type of the defects of interest having the first of the different yield impacts into the first of the bins and the one type of the defects of interest having the second of the different yield impacts into the second of the bins.
  • 19. The wafer inspection system of claim 18, wherein the multiple layer design attributes comprise design attributes for a layer of the wafer for which the image patches are generated and at least one additional layer of the wafer formed before the layer.
  • 20. The wafer inspection system of claim 18, wherein the multiple layer design attributes comprise design attributes for a layer of the wafer for which the image patches are generated and at least one additional layer of the wafer not vet formed on the wafer.
  • 21. The wafer inspection system of claim 18, wherein said aligning comprises selecting an alignment site in each of the inspection image frames with both horizontal and vertical features.
  • 22. The wafer inspection system of claim 18, wherein said aligning comprises rendering simulated images from design data for the wafer that illustrate how the design data would appear in the image patches generated for the wafer by the optical subsystem, and wherein the design information comprises the rendered simulated images.
  • 23. The wafer inspection system of claim 18, wherein the multiple layer design attributes comprise information for which areas of the wafer are NMOS and which areas of the wafer are PMOS.
  • 24. The wafer inspection system of claim 18, wherein the multiple layer design attributes comprise information for which areas of the wafer are dummy areas and which areas of the wafer are not dummy areas.
  • 25. The wafer inspection system of claim 18, wherein the multiple layer design attributes comprise information for which areas of the wafer comprise dummy structures and which areas of the wafer comprise device structures.
  • 26. The wafer inspection system of claim 18, wherein the multiple layer design attributes comprise information for which areas of the wafer comprise redundant structures and which areas of the wafer comprise non-redundant structures.
  • 27. The wafer inspection system of claim 18, wherein the one or more computer subsystems are further configured for sampling the defects detected on the wafer based on results of the binning.
  • 28. The wafer inspection system of claim 18, wherein the one or more computer subsystems are further configured for monitoring the defects detected on the wafer based on results of the binning.
  • 29. The wafer inspection system of claim 18, wherein the one or more computer subsystems are further configured for performing the aligning, detecting, deriving, building, and binning inline during a fabrication process performed on the wafer.
  • 30. The wafer inspection system of claim 18, wherein the one or more computer subsystems are further configured for binning defects detected on different wafers on which different devices are being formed with the decision tree.
  • 31. The wafer inspection system of claim 18, wherein the one or more computer subsystems are further configured for binning defects detected on different wafers scanned with different optics modes of the optical subsystem with the decision tree.
  • 32. The wafer inspection system of claim 18, wherein the decision tree is independent of an optics mode of the optical subsystem used for scanning the wafer.
  • 33. The wafer inspection system of claim 18, wherein the one or more computer subsystems are further configured for determining an effect that the defects detected on the wafer have on yield of a fabrication process performed on the wafer based on results of the binning.
US Referenced Citations (473)
Number Name Date Kind
3495269 Mutschler et al. Feb 1970 A
3496352 Jugle Feb 1970 A
3909602 Micka Sep 1975 A
4015203 Verkuil Mar 1977 A
4247203 Levy et al. Jan 1981 A
4347001 Levy et al. Aug 1982 A
4378159 Galbraith Mar 1983 A
4448532 Joseph et al. May 1984 A
4475122 Green Oct 1984 A
4532650 Wihl et al. Jul 1985 A
4555798 Broadbent, Jr. et al. Nov 1985 A
4578810 MacFarlane et al. Mar 1986 A
4579455 Levy et al. Apr 1986 A
4595289 Feldman et al. Jun 1986 A
4599558 Castellano, Jr. et al. Jul 1986 A
4633504 Wihl Dec 1986 A
4641353 Kobayashi Feb 1987 A
4641967 Pecen Feb 1987 A
4734721 Boyer et al. Mar 1988 A
4748327 Shinozaki et al. May 1988 A
4758094 Wihl et al. Jul 1988 A
4766324 Saadat et al. Aug 1988 A
4799175 Sano et al. Jan 1989 A
4805123 Specht et al. Feb 1989 A
4812756 Curtis et al. Mar 1989 A
4814829 Kosugi et al. Mar 1989 A
4817123 Sones et al. Mar 1989 A
4845558 Tsai et al. Jul 1989 A
4877326 Chadwick et al. Oct 1989 A
4926489 Danielson et al. May 1990 A
4928313 Leonard et al. May 1990 A
5046109 Fujimori et al. Sep 1991 A
5124927 Hopewell et al. Jun 1992 A
5189481 Jann et al. Feb 1993 A
5355212 Wells et al. Oct 1994 A
5444480 Sumita Aug 1995 A
5453844 George et al. Sep 1995 A
5481624 Kamon Jan 1996 A
5485091 Verkuil Jan 1996 A
5497381 O'Donoghue et al. Mar 1996 A
5528153 Taylor et al. Jun 1996 A
5544256 Brecher et al. Aug 1996 A
5563702 Emery et al. Oct 1996 A
5572598 Wihl et al. Nov 1996 A
5578821 Meisberger et al. Nov 1996 A
5594247 Verkuil et al. Jan 1997 A
5608538 Edgar et al. Mar 1997 A
5619548 Koppel Apr 1997 A
5621519 Frost et al. Apr 1997 A
5644223 Verkuil Jul 1997 A
5650731 Fung et al. Jul 1997 A
5661408 Kamieniecki et al. Aug 1997 A
5689614 Gronet et al. Nov 1997 A
5694478 Braier et al. Dec 1997 A
5696835 Hennessey et al. Dec 1997 A
5703969 Hennessey et al. Dec 1997 A
5716889 Tsuji et al. Feb 1998 A
5737072 Emery et al. Apr 1998 A
5742658 Tiffin et al. Apr 1998 A
5754678 Hawthorne et al. May 1998 A
5767691 Verkuil Jun 1998 A
5767693 Verkuil Jun 1998 A
5771317 Edgar Jun 1998 A
5773989 Edelman et al. Jun 1998 A
5774179 Chevrette et al. Jun 1998 A
5795685 Liebmann et al. Aug 1998 A
5822218 Moosa et al. Oct 1998 A
5831865 Berezin et al. Nov 1998 A
5834941 Verkuil Nov 1998 A
5852232 Samsavar et al. Dec 1998 A
5866806 Samsavar et al. Feb 1999 A
5874733 Silver et al. Feb 1999 A
5884242 Meier et al. Mar 1999 A
5889593 Bareket Mar 1999 A
5917332 Chen et al. Jun 1999 A
5932377 Ferguson et al. Aug 1999 A
5940458 Suk Aug 1999 A
5948972 Samsavar et al. Sep 1999 A
5955661 Samsavar et al. Sep 1999 A
5965306 Mansfield et al. Oct 1999 A
5978501 Badger et al. Nov 1999 A
5980187 Verhovsky Nov 1999 A
5986263 Hiroi et al. Nov 1999 A
5991699 Kulkarni et al. Nov 1999 A
5999003 Steffan et al. Dec 1999 A
6011404 Ma et al. Jan 2000 A
6014461 Hennessey et al. Jan 2000 A
6040911 Nozaki et al. Mar 2000 A
6040912 Zika et al. Mar 2000 A
6052478 Wihl et al. Apr 2000 A
6060709 Verkuil et al. May 2000 A
6072320 Verkuil Jun 2000 A
6076465 Vacca et al. Jun 2000 A
6078738 Garza et al. Jun 2000 A
6091257 Verkuil et al. Jul 2000 A
6091846 Lin et al. Jul 2000 A
6097196 Verkuil et al. Aug 2000 A
6097887 Hardikar et al. Aug 2000 A
6104206 Verkuil Aug 2000 A
6104835 Han Aug 2000 A
6117598 Imai Sep 2000 A
6121783 Horner et al. Sep 2000 A
6122017 Taubman Sep 2000 A
6122046 Almogy Sep 2000 A
6137570 Chuang et al. Oct 2000 A
6141038 Young et al. Oct 2000 A
6146627 Muller et al. Nov 2000 A
6171737 Phan et al. Jan 2001 B1
6175645 Elyasaf et al. Jan 2001 B1
6184929 Noda et al. Feb 2001 B1
6184976 Park et al. Feb 2001 B1
6191605 Miller et al. Feb 2001 B1
6201999 Jevtic Mar 2001 B1
6202029 Verkuil et al. Mar 2001 B1
6205239 Lin et al. Mar 2001 B1
6215551 Nikoonahad et al. Apr 2001 B1
6224638 Jevtic et al. May 2001 B1
6233719 Hardikar et al. May 2001 B1
6246787 Hennessey et al. Jun 2001 B1
6248485 Cuthbert Jun 2001 B1
6248486 Dirksen et al. Jun 2001 B1
6259960 Inokuchi Jul 2001 B1
6266437 Eichel et al. Jul 2001 B1
6267005 Samsavar et al. Jul 2001 B1
6268093 Kenan et al. Jul 2001 B1
6272236 Pierrat et al. Aug 2001 B1
6282309 Emery Aug 2001 B1
6292582 Lin et al. Sep 2001 B1
6295374 Robinson et al. Sep 2001 B1
6324298 O'Dell et al. Nov 2001 B1
6336082 Nguyen Jan 2002 B1
6344640 Rhoads Feb 2002 B1
6363166 Wihl et al. Mar 2002 B1
6366687 Aloni et al. Apr 2002 B1
6373975 Bula et al. Apr 2002 B1
6388747 Nara et al. May 2002 B2
6393602 Atchison et al. May 2002 B1
6407373 Dotan Jun 2002 B1
6415421 Anderson et al. Jul 2002 B2
6427024 Bishop Jul 2002 B1
6445199 Satya et al. Sep 2002 B1
6451690 Matsumoto et al. Sep 2002 B1
6459520 Takayama Oct 2002 B1
6466314 Lehman Oct 2002 B1
6466315 Karpol et al. Oct 2002 B1
6470489 Chang et al. Oct 2002 B1
6483938 Hennessey et al. Nov 2002 B1
6513151 Erhardt et al. Jan 2003 B1
6526164 Mansfield et al. Feb 2003 B1
6529621 Glasser et al. Mar 2003 B1
6535628 Smargiassi et al. Mar 2003 B2
6539106 Gallarda et al. Mar 2003 B1
6553329 Balachandran Apr 2003 B2
6569691 Jastrzebski et al. May 2003 B1
6581193 McGhee et al. Jun 2003 B1
6593748 Halliyal et al. Jul 2003 B1
6597193 Lagowski et al. Jul 2003 B2
6602728 Liebmann et al. Aug 2003 B1
6608681 Tanaka et al. Aug 2003 B2
6614520 Bareket et al. Sep 2003 B1
6631511 Haffner et al. Oct 2003 B2
6636301 Kvamme et al. Oct 2003 B1
6642066 Halliyal et al. Nov 2003 B1
6658640 Weed Dec 2003 B2
6665065 Phan et al. Dec 2003 B1
6670082 Liu et al. Dec 2003 B2
6680621 Savtchouk et al. Jan 2004 B2
6691052 Maurer Feb 2004 B1
6701004 Shykind et al. Mar 2004 B1
6718526 Eldredge et al. Apr 2004 B1
6721695 Chen et al. Apr 2004 B1
6734696 Horner et al. May 2004 B2
6738954 Allen et al. May 2004 B1
6748103 Glasser et al. Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753954 Chen Jun 2004 B2
6757645 Chang et al. Jun 2004 B2
6759655 Nara et al. Jul 2004 B2
6771806 Satya et al. Aug 2004 B1
6775818 Taravade et al. Aug 2004 B2
6777147 Fonseca et al. Aug 2004 B1
6777676 Wang et al. Aug 2004 B1
6778695 Schellenberg et al. Aug 2004 B1
6779159 Yokoyama et al. Aug 2004 B2
6784446 Phan et al. Aug 2004 B1
6788400 Chen Sep 2004 B2
6789032 Barbour et al. Sep 2004 B2
6803554 Ye et al. Oct 2004 B2
6806456 Ye et al. Oct 2004 B1
6807503 Ye et al. Oct 2004 B2
6813572 Satya et al. Nov 2004 B2
6820028 Ye et al. Nov 2004 B2
6828542 Ye et al. Dec 2004 B2
6842225 Irie et al. Jan 2005 B1
6859746 Stirton Feb 2005 B1
6879403 Freifeld Apr 2005 B2
6879924 Ye et al. Apr 2005 B2
6882745 Brankner et al. Apr 2005 B2
6884984 Ye et al. Apr 2005 B2
6886153 Bevis Apr 2005 B1
6892156 Ye et al. May 2005 B2
6902855 Peterson et al. Jun 2005 B2
6906305 Pease et al. Jun 2005 B2
6918101 Satya et al. Jul 2005 B1
6919957 Nikoonahad et al. Jul 2005 B2
6937753 O'Dell et al. Aug 2005 B1
6948141 Satya et al. Sep 2005 B1
6959255 Ye et al. Oct 2005 B2
6966047 Glasser Nov 2005 B1
6969837 Ye et al. Nov 2005 B2
6969864 Ye et al. Nov 2005 B2
6983060 Martinent-Catalot et al. Jan 2006 B1
6988045 Purdy Jan 2006 B2
6990385 Smith et al. Jan 2006 B1
7003755 Pang et al. Feb 2006 B2
7003758 Ye et al. Feb 2006 B2
7012438 Miller et al. Mar 2006 B1
7026615 Takane et al. Apr 2006 B2
7027143 Stokowski et al. Apr 2006 B1
7030966 Hansen Apr 2006 B2
7030997 Neureuther et al. Apr 2006 B2
7053355 Ye et al. May 2006 B2
7061625 Hwang et al. Jun 2006 B1
7071833 Nagano et al. Jul 2006 B2
7103484 Shi et al. Sep 2006 B1
7106895 Goldberg et al. Sep 2006 B1
7107517 Suzuki et al. Sep 2006 B1
7107571 Chang et al. Sep 2006 B2
7111277 Ye et al. Sep 2006 B2
7114143 Hanson et al. Sep 2006 B2
7114145 Ye et al. Sep 2006 B2
7117477 Ye et al. Oct 2006 B2
7117478 Ye et al. Oct 2006 B2
7120285 Spence Oct 2006 B1
7120895 Ye et al. Oct 2006 B2
7123356 Stokowski et al. Oct 2006 B1
7124386 Smith et al. Oct 2006 B2
7133070 Wheeler Nov 2006 B2
7133548 Kenan et al. Nov 2006 B2
7135344 Nehmadi et al. Nov 2006 B2
7136143 Smith Nov 2006 B2
7152215 Smith et al. Dec 2006 B2
7162071 Hung et al. Jan 2007 B2
7170593 Honda et al. Jan 2007 B2
7171334 Gassner Jan 2007 B2
7174520 White et al. Feb 2007 B2
7194709 Brankner Mar 2007 B2
7207017 Tabery et al. Apr 2007 B1
7231628 Pack et al. Jun 2007 B2
7236847 Marella Jun 2007 B2
7271891 Xiong et al. Sep 2007 B1
7379175 Stokowski et al. May 2008 B1
7383156 Matsusita et al. Jun 2008 B2
7386839 Golender et al. Jun 2008 B1
7388979 Sakai et al. Jun 2008 B2
7418124 Peterson et al. Aug 2008 B2
7424145 Horie et al. Sep 2008 B2
7440093 Xiong et al. Oct 2008 B1
7558419 Ye Jul 2009 B1
7570796 Zafar et al. Aug 2009 B2
7676077 Kulkarni et al. Mar 2010 B2
7683319 Makino et al. Mar 2010 B2
7738093 Alles et al. Jun 2010 B2
7739064 Ryker et al. Jun 2010 B1
7752584 Yang Jul 2010 B2
7760929 Orbon et al. Jul 2010 B2
7769225 Kekare et al. Aug 2010 B2
7774153 Smith Aug 2010 B1
7877722 Duffy et al. Jan 2011 B2
7890917 Young et al. Feb 2011 B1
7904845 Fouquet et al. Mar 2011 B2
7968859 Young et al. Jun 2011 B2
8041103 Kulkarni et al. Oct 2011 B2
8041106 Pak et al. Oct 2011 B2
8073240 Fischer et al. Dec 2011 B2
8112241 Xiong Feb 2012 B2
8126255 Bhaskar et al. Feb 2012 B2
8204297 Xiong et al. Jun 2012 B1
8223327 Chen et al. Jul 2012 B2
8255172 Auerbach Aug 2012 B2
8269960 Reich et al. Sep 2012 B2
8605275 Chen et al. Dec 2013 B2
20010017694 Oomori et al. Aug 2001 A1
20010019625 Kenan et al. Sep 2001 A1
20010022858 Komiya et al. Sep 2001 A1
20010043735 Smargiassi et al. Nov 2001 A1
20020010560 Balachandran Jan 2002 A1
20020019729 Chang et al. Feb 2002 A1
20020026626 Randall et al. Feb 2002 A1
20020033449 Nakasuji et al. Mar 2002 A1
20020035461 Chang et al. Mar 2002 A1
20020035641 Kurose et al. Mar 2002 A1
20020035717 Matsuoka Mar 2002 A1
20020054291 Tsai et al. May 2002 A1
20020088951 Chen Jul 2002 A1
20020090746 Xu et al. Jul 2002 A1
20020134936 Matsui et al. Sep 2002 A1
20020144230 Rittman Oct 2002 A1
20020145734 Watkins et al. Oct 2002 A1
20020164065 Cai et al. Nov 2002 A1
20020168099 Noy Nov 2002 A1
20020176096 Sentoku et al. Nov 2002 A1
20020181756 Shibuya et al. Dec 2002 A1
20020186878 Hoon et al. Dec 2002 A1
20020192578 Tanaka et al. Dec 2002 A1
20030004699 Choi et al. Jan 2003 A1
20030014146 Fujii et al. Jan 2003 A1
20030017664 Pnueli et al. Jan 2003 A1
20030022401 Hamamatsu et al. Jan 2003 A1
20030033046 Yoshitake et al. Feb 2003 A1
20030048458 Mieher et al. Mar 2003 A1
20030048939 Lehman Mar 2003 A1
20030057971 Nishiyama et al. Mar 2003 A1
20030076989 Maayah et al. Apr 2003 A1
20030082463 Laidig et al. May 2003 A1
20030086081 Lehman May 2003 A1
20030094572 Matsui et al. May 2003 A1
20030098805 Bizjak et al. May 2003 A1
20030128870 Pease et al. Jul 2003 A1
20030138138 Vacca et al. Jul 2003 A1
20030138978 Tanaka et al. Jul 2003 A1
20030169916 Hayashi et al. Sep 2003 A1
20030173516 Takane et al. Sep 2003 A1
20030192015 Liu Oct 2003 A1
20030207475 Nakasuji et al. Nov 2003 A1
20030223639 Shlain et al. Dec 2003 A1
20030226951 Ye et al. Dec 2003 A1
20030227620 Yokoyama et al. Dec 2003 A1
20030228050 Geshel et al. Dec 2003 A1
20030228714 Smith et al. Dec 2003 A1
20030229410 Smith et al. Dec 2003 A1
20030229412 White et al. Dec 2003 A1
20030229868 White et al. Dec 2003 A1
20030229875 Smith et al. Dec 2003 A1
20030229880 White et al. Dec 2003 A1
20030229881 White et al. Dec 2003 A1
20030237064 White et al. Dec 2003 A1
20040030430 Matsuoka Feb 2004 A1
20040032908 Hagai et al. Feb 2004 A1
20040049722 Matsushita Mar 2004 A1
20040052411 Qian et al. Mar 2004 A1
20040057611 Lee et al. Mar 2004 A1
20040066506 Elichai et al. Apr 2004 A1
20040091142 Peterson et al. May 2004 A1
20040094762 Hess et al. May 2004 A1
20040098216 Ye et al. May 2004 A1
20040102934 Chang May 2004 A1
20040107412 Pack et al. Jun 2004 A1
20040119036 Ye et al. Jun 2004 A1
20040120569 Hung et al. Jun 2004 A1
20040133369 Pack et al. Jul 2004 A1
20040147121 Nakagaki et al. Jul 2004 A1
20040174506 Smith Sep 2004 A1
20040179738 Dai et al. Sep 2004 A1
20040199885 Lu et al. Oct 2004 A1
20040223639 Sato Nov 2004 A1
20040228515 Okabe et al. Nov 2004 A1
20040234120 Honda et al. Nov 2004 A1
20040243320 Chang et al. Dec 2004 A1
20040246476 Bevis et al. Dec 2004 A1
20040254752 Wisniewski et al. Dec 2004 A1
20050004774 Volk et al. Jan 2005 A1
20050008218 O'Dell et al. Jan 2005 A1
20050010890 Nehmadi et al. Jan 2005 A1
20050013474 Sim Jan 2005 A1
20050062962 Fairley et al. Mar 2005 A1
20050069217 Mukherjee Mar 2005 A1
20050117796 Matsui et al. Jun 2005 A1
20050132306 Smith et al. Jun 2005 A1
20050141764 Tohyama et al. Jun 2005 A1
20050166174 Ye et al. Jul 2005 A1
20050184252 Ogawa et al. Aug 2005 A1
20050190957 Cai et al. Sep 2005 A1
20050198602 Brankner et al. Sep 2005 A1
20050249318 Minemura Nov 2005 A1
20060000964 Ye et al. Jan 2006 A1
20060036979 Zurbrick et al. Feb 2006 A1
20060038986 Honda et al. Feb 2006 A1
20060048089 Schwarzband Mar 2006 A1
20060051682 Hess et al. Mar 2006 A1
20060062445 Verma et al. Mar 2006 A1
20060066339 Rajski et al. Mar 2006 A1
20060082763 Teh et al. Apr 2006 A1
20060083135 Minemura Apr 2006 A1
20060159333 Ishikawa Jul 2006 A1
20060161452 Hess Jul 2006 A1
20060193506 Dorphan et al. Aug 2006 A1
20060193507 Sali et al. Aug 2006 A1
20060236294 Saidin et al. Oct 2006 A1
20060236297 Melvin, III et al. Oct 2006 A1
20060239536 Shibuya et al. Oct 2006 A1
20060265145 Huet Nov 2006 A1
20060266243 Percin et al. Nov 2006 A1
20060269120 Nehmadi et al. Nov 2006 A1
20060273242 Hunsche et al. Dec 2006 A1
20060273266 Preil et al. Dec 2006 A1
20060277520 Gennari Dec 2006 A1
20060291714 Wu et al. Dec 2006 A1
20060292463 Best et al. Dec 2006 A1
20070002322 Borodovsky et al. Jan 2007 A1
20070011628 Ouali et al. Jan 2007 A1
20070013901 Kim et al. Jan 2007 A1
20070019171 Smith Jan 2007 A1
20070019856 Furman et al. Jan 2007 A1
20070031745 Ye et al. Feb 2007 A1
20070032896 Ye et al. Feb 2007 A1
20070035322 Kang et al. Feb 2007 A1
20070035712 Gassner et al. Feb 2007 A1
20070035728 Kekare et al. Feb 2007 A1
20070052963 Orbon et al. Mar 2007 A1
20070064995 Oaki et al. Mar 2007 A1
20070133860 Lin et al. Jun 2007 A1
20070156379 Kulkarni Jul 2007 A1
20070230770 Kulkarni Oct 2007 A1
20070248257 Bruce et al. Oct 2007 A1
20070280527 Almogy et al. Dec 2007 A1
20070288219 Zafar Dec 2007 A1
20080013083 Kirk et al. Jan 2008 A1
20080015802 Urano et al. Jan 2008 A1
20080016481 Matsuoka et al. Jan 2008 A1
20080018887 Chen et al. Jan 2008 A1
20080049994 Rognin et al. Feb 2008 A1
20080058977 Honda Mar 2008 A1
20080072207 Verma et al. Mar 2008 A1
20080081385 Marella et al. Apr 2008 A1
20080163140 Fouquet et al. Jul 2008 A1
20080167829 Park Jul 2008 A1
20080250384 Duffy Oct 2008 A1
20080295047 Nehmadi et al. Nov 2008 A1
20080295048 Nehmadi et al. Nov 2008 A1
20080304056 Alles et al. Dec 2008 A1
20090024967 Su et al. Jan 2009 A1
20090037134 Kulkarni et al. Feb 2009 A1
20090041332 Bhaskar et al. Feb 2009 A1
20090043527 Park et al. Feb 2009 A1
20090055783 Florence et al. Feb 2009 A1
20090067703 Lin et al. Mar 2009 A1
20090080759 Bhaskar et al. Mar 2009 A1
20090210183 Rajski et al. Aug 2009 A1
20090257645 Chen et al. Oct 2009 A1
20090284733 Wallingford et al. Nov 2009 A1
20090290782 Regensburger Nov 2009 A1
20090299681 Chen et al. Dec 2009 A1
20090310864 Takagi et al. Dec 2009 A1
20090323052 Silberstein et al. Dec 2009 A1
20100142800 Pak et al. Jun 2010 A1
20100146338 Schalick et al. Jun 2010 A1
20100150429 Jau et al. Jun 2010 A1
20100188657 Chen Jul 2010 A1
20100226562 Wu et al. Sep 2010 A1
20110013825 Shibuya et al. Jan 2011 A1
20110052040 Kuan Mar 2011 A1
20110129142 Takahashi et al. Jun 2011 A1
20110184662 Badger et al. Jul 2011 A1
20110188733 Bardos et al. Aug 2011 A1
20110251713 Teshima et al. Oct 2011 A1
20110276935 Fouquet et al. Nov 2011 A1
20110311126 Sakai et al. Dec 2011 A1
20110320149 Lee Dec 2011 A1
20120229618 Urano Sep 2012 A1
20120308112 Hu et al. Dec 2012 A1
20120319246 Tan et al. Dec 2012 A1
20130009989 Chen et al. Jan 2013 A1
20130027196 Yankun et al. Jan 2013 A1
20130064442 Chang Mar 2013 A1
20130129189 Wu May 2013 A1
20130236084 Li Sep 2013 A1
20130336575 Dalla-Torre et al. Dec 2013 A1
20140002632 Lin et al. Jan 2014 A1
20140050389 Mahadevan et al. Feb 2014 A1
20140185919 Lang et al. Jul 2014 A1
20140193065 Chu et al. Jul 2014 A1
20140219544 Wu et al. Aug 2014 A1
Foreign Referenced Citations (55)
Number Date Country
1339140 Mar 2002 CN
1398348 Feb 2003 CN
1646896 Jul 2005 CN
101275920 Oct 2008 CN
0032197 Jul 1981 EP
0370322 May 1990 EP
1061358 Dec 2000 EP
1061571 Dec 2000 EP
1065567 Jan 2001 EP
1066925 Jan 2001 EP
1069609 Jan 2001 EP
1093017 Apr 2001 EP
1329771 Jul 2003 EP
1480034 Nov 2004 EP
1696270 Aug 2006 EP
7-159337 Jun 1995 JP
2002-071575 Mar 2002 JP
2002-365235 Dec 2002 JP
2003-215060 Jul 2003 JP
2004-045066 Feb 2004 JP
2005-283326 Oct 2005 JP
2007-234798 Sep 2007 JP
2009-122046 Jun 2009 JP
2010-256242 Nov 2010 JP
2012-225768 Nov 2012 JP
10-2001-0007394 Jan 2001 KR
10-2001-0037026 May 2001 KR
10-2001-0101697 Nov 2001 KR
10-2003-0055848 Jul 2003 KR
10-2006-0075691 Jul 2005 KR
10-2005-0092053 Sep 2005 KR
10-2006-0124514 Dec 2006 KR
10-0696276 Mar 2007 KR
10-2010-0061018 Jun 2010 KR
10-2012-0068128 Jun 2012 KR
9857358 Dec 1998 WO
9922310 May 1999 WO
9925004 May 1999 WO
9959200 May 1999 WO
9938002 Jul 1999 WO
9941434 Aug 1999 WO
0003234 Jan 2000 WO
0036525 Jun 2000 WO
0055799 Sep 2000 WO
0068884 Nov 2000 WO
0070332 Nov 2000 WO
0109566 Feb 2001 WO
0140145 Jun 2001 WO
03104921 Dec 2003 WO
2004027684 Apr 2004 WO
2004097903 Nov 2004 WO
2006012388 Feb 2006 WO
2006063268 Jun 2006 WO
2009152046 Sep 2009 WO
2010093733 Aug 2010 WO
Non-Patent Literature Citations (41)
Entry
U.S. Appl. No. 60/681,095, filed May 13, 2005 by Nehmadi et al.
U.S. Appl. No. 60/684,360, filed May 24, 2005 by Nehmadi et al.
U.S. Appl. No. 13/652,377, filed Oct. 15, 2012 by Wu et al.
Allan et al., “Critical Area Extraction for Soft Fault Estimation,” IEEE Transactions on Semiconductor Manufacturing, vol. 11, No. 1, Feb. 1998.
Barty et al., “Aerial Image Microscopes for the inspection of defects in EUV masks,” Proceedings of SPIE, vol. 4889, 2002, pp. 1073-1084.
Budd et al., “A New Mask Evaluation Tool, the Microlithography Simulation Microscope Aerial Image Measurement System,” SPIE vol. 2197, 1994, pp. 530-540.
Cai et al., “Enhanced Dispositioning of Reticle Defects Using the Virtual Stepper With Automoated Defect Severity Scoring,” Proceedings of the SPIE, vol. 4409, Jan. 2001, pp. 467-478.
Diebold et al., “Characterization and produiction metrology of thin transistor gate oxide films,” Materials Science in Semiconductor Processing 2, 1999, pp. 103-147.
Dirksen et al., “Impact of high order aberrations on the performance of the aberration monitor,” Proc. of SPIE vol. 4000, Mar. 2000, pp. 9-17.
Dirksen et al., “Novel aberration monitor for optical lithography,” Proc. of SPIE vol. 3679, Jul. 1999, pp. 77-86.
Garcia et al., “New Die to Database Inspection Algorithm for Inspection of 90-nm Node Reticles,” Proceedings of SPIE, vol. 5130, 2003, pp. 364-374.
Granik et al., “Sub-resolution process windows and yield estimation technique based on detailed full-chip CD simulation,” Mentor Graphics, Sep. 2000, 5 pages.
Hess et al., “A Novel Approach: High Resolution Inspection with Wafer Plane Defect Detection,” Proceedings of SPIE—International Society for Optical Engineering; Photomask and Next-Generation Lithography Mask Technology 2008, vol. 7028, 2008.
Huang et al., “Process Window Impact of Progressive Mask Defects, Its Inspection and Disposition Techniques (go/no-go criteria) Via a Lithographic Detector,” Proceedings of SPIE—The International Society for Optical Engineering; 25th Annual Bacus Symposium on Photomask Technology 2005, vol. 5992, No. 1, 2005, p. 6.
Huang et al., “Using Design Based Binning to Improve Defect Excursion Control for 45nm Production,” IEEE, International Symposium on Semiconductor Manufacturing, Oct. 2007, pp. 1-3.
Karklin et al., “Automatic Defect Severity Scoring for 193 nm Reticle Defect Inspection,” Proceedings of SPIE—The International Society for Optical Engineering, 2001, vol. 4346, No. 2, pp. 898-906.
Lo et al., “Identifying Process Window Marginalities of Reticle Designs for 0.15/0.13 μm Technologies,” Proceedings of SPIE vol. 5130, 2003, pp. 829-837.
Lorusso et al. “Advanced DFM Applns. Using design-based metrology on CDSEM,” SPIE vol. 6152, Mar. 27, 2006.
Lu et al., “Application of Simulation Based Defect Printability Analysis for Mask Qualification Control,” Proceedings of SPIE, vol. 5038, 2003, pp. 33-40.
Mack, “Lithographic Simulation: A Review,” Proceedings of SPIE vol. 4440, 2001, pp. 59-72.
Martino et al., “Application of the Aerial Image Measurement System (AIMS(TM)) to the Analysis of Binary Mask Imaging and Resolution Enhancement Techniques,” SPIE vol. 2197, 1994, pp. 573-584.
Nagpal et al., “Wafer Plane Inspection for Advanced Reticle Defects,” Proceedings of SPIE—The International Society for Optical Engineering; Photomask and Next-Generation Lithography Mask Technology. vol. 7028, 2008.
Numerical Recipes in C. The Art of Scientific Computing, 2nd Ed.,@ Cambridge University Press 1988, 1992, p. 683.
O'Gorman et al., “Subpixel Registration Using a Concentric Ring Fiducial,” Proceedings of the International Conference on Pattern Recognition, vol. ii, Jun. 16, 1990, pp. 249-253.
Otsu, “A Threshold Selection Method from Gray-Level Histograms,” IEEE Transactions on Systems, Man, and Cybernetics, vol. SMC-9, No. 1, Jan. 1979, pp. 62-66.
Pang et al., “Simulation-based Defect Printability Analysis on Alternating Phase Shifting Masks for 193 nm Lithography,” Proceedings of SPIE, vol. 4889, 2002, pp. 947-954.
Pettibone et al., “Wafer Printability Simulation Accuracy Based on UV Optical Inspection Images of Reticle Defects,” Proceedings of SPIE—The International Society for Optical Engineering 1999 Society of Photo-Optical Instrumentation Engineers, vol. 3677, No. II, 1999, pp. 711-720.
Phan et al., “Comparison of Binary Mask Defect Printability Analysis Using Virtual Stepper System and Aerial Image Microscope System,” Proceedings of SPIE—The International Society for Optical Engineering 1999 Society of Photo-Optical Instrumentation Engineers, vol. 3873, 1999, pp. 681-692.
Sahouria et al., “Full-chip Process Simulation for Silicon DRC,” Mentor Graphics, Mar. 2000, 6 pages.
Sato et al., “Defect Criticality Index (DCI): A new methodology to significantly improve DOI sampling rate in a 45nm production environment,” Metrology, Inspection, and Process Control for Microlithography XXII, Proc. of SPIE vol. 6922, 692213 (2008), pp. 1-9.
Schurz et al., “Simulation Study of Reticle Enhancement Technology Applications for 157 nm Lithography,” SPIE vol. 4562, 2002, pp. 902-913.
Svidenko et al. “Dynamic Defect-Limited Yield Prediction by Criticality Factor,” ISSM Paper: YE-O-157, 2007.
Tang et al., “Analyzing Volume Diagnosis Results with Statistical Learning for Yield Improvement” 12th IEEE European Test Symposium, Freiburg 2007, IEEE European, May 20-24, 2007, pp. 145-150.
Volk et al. “Investigation of Reticle Defect Formation at DUV Lithography,” 2002, BACUS Symposium on Photomask Technology.
Volk et al. “Investigation of Reticle Defect Formation at DUV Lithography,” 2003, IEEE/SEMI Advanced Manufacturing Conference, pp. 29-35.
Volk et al., “Investigation of Smart Inspection of Critical Layer Reticles using Additional Designer Data to Determine Defect Significance,” Proceedings of SPIE vol. 5256, 2003, pp. 489-499.
Yan et al., “Printability of Pellicle Defects in DUV 0.5 um Lithography,” SPIE vol. 1604, 1991, pp. 106-117.
Guo et al., “License Plate Localization and Character Segmentation with Feedback Self-Learning and Hybrid Binarization Techniques,” IEEE Transactions on Vehicular Technology, vol. 57, No. 3, May 2008, pp. 1417-1424.
Liu, “Robust Image Segmentation Using Local Median,” Proceedings of the 3rd Canadian Conference on Computer and Robot Vision (CRV'06) 0-7695-2542-3/06, 2006 IEEE, 7 pages total.
International Search Report and Written Opinion for PCT/US2014/034249 mailed Aug. 18, 2014.
Gu et al., “Lossless Compression Algorithms for Hierarchical IC Layout,” IEEE Transactions on Semiconductor Manufacturing, vol. 21, No. 2, May 2008, pp. 285-296.
Related Publications (1)
Number Date Country
20140307947 A1 Oct 2014 US
Provisional Applications (1)
Number Date Country
61811910 Apr 2013 US