Cartesian cluster tool configuration for lithography type processes

Information

  • Patent Grant
  • 7819079
  • Patent Number
    7,819,079
  • Date Filed
    Friday, September 8, 2006
    17 years ago
  • Date Issued
    Tuesday, October 26, 2010
    13 years ago
Abstract
The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the invention generally relate to an integrated processing system containing multiple processing stations and robots that are capable of processing multiple substrates in parallel.


2. Description of the Related Art


The process of forming electronic devices is commonly done in a multi-chamber processing system (e.g., a cluster tool) that has the capability to sequentially process substrates, (e.g., semiconductor wafers) in a controlled processing environment. Typical cluster tools used to deposit (i.e., coat) and develop a photoresist material, commonly known as a track lithography tool, or used to perform semiconductor cleaning processes, commonly described as a wet/clean tool, will include a mainframe that houses at least one substrate transfer robot which transports substrates between a pod/cassette mounting device and multiple processing chambers that are connected to the mainframe. Cluster tools are often used so that substrates can be processed in a repeatable way in a controlled processing environment. A controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.


The effectiveness of a substrate fabrication process is often measured by two related and important factors, which are device yield and the cost of ownership (CoO). These factors are important since they directly affect the cost to produce an electronic device and thus a device manufacturer's competitiveness in the market place. The CoO, while affected by a number of factors, is greatly affected by the system and chamber throughput, or simply the number of substrates per hour processed using a desired processing sequence. A process sequence is generally defined as the sequence of device fabrication steps, or process recipe steps, completed in one or more processing chambers in the cluster tool. A process sequence may generally contain various substrate (or wafer) electronic device fabrication processing steps. In an effort to reduce CoO, electronic device manufacturers often spend a large amount of time trying to optimize the process sequence and chamber processing time to achieve the greatest substrate throughput possible given the cluster tool architecture limitations and the chamber processing times.


In track lithography type cluster tools, since the chamber processing times tend to be rather short, (e.g., about a minute to complete the process) and the number of processing steps required to complete a typical process sequence is large, a significant portion of the time it takes to complete the processing sequence is taken up transferring the substrates between the various processing chambers. A typical track lithography process sequence will generally include the following steps: depositing one or more uniform photoresist (or resist) layers on the surface of a substrate, then transferring the substrate out of the cluster tool to a separate stepper or scanner tool to pattern the substrate surface by exposing the photoresist layer to a photoresist modifying electromagnetic radiation, and then developing the patterned photoresist layer. If the substrate throughput in a cluster tool is not robot limited, the longest process recipe step will generally limit the throughput of the processing sequence. This is usually not the case in track lithography process sequences, due to the short processing times and large number of processing steps. Typical system throughput for the conventional fabrication processes, such as a track lithography tool running a typical process, will generally be between 100-120 substrates per hour.


Other important factors in the CoO calculation are the system reliability and system uptime. These factors are very important to a cluster tool's profitability and/or usefulness, since the longer the system is unable to process substrates the more money is lost by the user due to the lost opportunity to process substrates in the cluster tool. Therefore, cluster tool users and manufacturers spend a large amount of time trying to develop reliable processes, reliable hardware and reliable systems that have increased uptime.



FIG. 1 illustrates a top view of a conventional cluster tool configuration (e.g., cluster tool 22) that contains three processing cells 16 that each contain a robot 17, one or more pass-through slots 21 (e.g., robots 21A-21C), and one or more processing chambers 18 that surround each of the robots 17 (e.g., robots 17A-17C). The cluster tool 22 will also generally contain a front end unit 19 that contains a front end robot 20 and one or more substrate cassettes 23. In these type of conventional cluster tool configurations the size and number of processing chambers 18 are limited by the reach of the robots 17A-C and thus can not be increased in size unless another processing cell (e.g., item # 16′) is added to the cluster tool. When a new processing cell 16′ is added, a new robot has to be added to the cluster tool 22 so that substrates can be transferred to the added processing chambers 18. The process of transferring substrates through the cluster tool 22 needs to be done “serially”, rather than in parallel, since each substrate must be transferred from one processing cell 16 to another by use of the robot positioned in the center of each cell. An issue arises since the reliability of a serial sequence is proportional to the product of the reliability of each component in the sequence. Therefore, by adding additional robots to the cluster tool the reliability of the system will drop. For example, a transferring sequence that uses two robots that have an up-time of 99% each, will limit the system's uptime to 98.01%, whereas a system that was able to utilize a single robot to service the same number of chambers would have an uptime of 99%. Therefore, since system uptime is a major factor in CoO calculations there is a need for a cluster tool that minimizes the number of serial steps and serial components.


Prior art configurations, such as the one shown in FIG. 1, require the use of multiple pass-through slots 21 distributed throughout the cluster tool 22, and multiple robots to complete the transferring process sequence through the cluster tool. For example, a first robot 17A will handoff each substrate to a pass-through slot 21B so that the adjacent second robot 17B can pickup and transfer the substrate to a desired position within a processing chamber in its processing cell 16. After the substrate is processed in the processing chamber the substrate is then placed back in the pass-through slot 21B by the second robot 17B where it is picked up by the first robot 17A. Conventional cluster tool transferring sequences that require multiple handoffs to pass-through chambers are detrimental to CoO calculations, since it requires a number of non-value added moves to transfer the substrate between various processing cells 16 within the cluster tool 21. The non-value added moves can be costly due to decreased substrate throughput and the decrease in the cluster tool reliability. Since track lithography chamber processing times tend to be rather short, and the number of processing steps required to complete a typical process sequence is large, the system throughput can be significantly affected by the number of wafer handoffs, the non-value added moves of a robot, and the reliability of the components within the system. Therefore, there is a need for a cluster tool that reduces the number of non-value added moves, such as pass-through steps.


Another issue that arises when building a cluster tool that have a large number of processing chambers and supporting components, which are common to lithography type cluster tools, is that the cluster tool is hard to manufacture, the cluster tool is not easily serviced during operation, and the cluster tool is not easily configured to meet the end user's needs. These issues commonly arise due to the competing goals that require the footprint of the cluster tool to be as small as possible versus the cluster tool having enough chambers and robotic components to assure that the throughput of the system achieves a desired goal. Therefore, there is a need for a cluster tool that is easy to manufacture, is easy to service, is easily configured, and has a small footprint relative to the prior art type configurations.


The push in the industry to shrink the size of semiconductor devices to improve device processing speed and reduce the generation of heat by the device, has reduced the industry's tolerance for process variability. To minimize process variability an important factor in the track lithography processing sequences is the issue of assuring that every substrate run through a cluster tool has the same “wafer history.” A substrate's wafer history is generally monitored and controlled by process engineers to assure that all of the device fabrication processing variables that may later affect a device's performance are controlled, so that all substrates in the same batch are always processed the same way. To assure that each substrate has the same “wafer history” requires that each substrate experiences the same repeatable substrate processing steps (e.g., consistent coating process, consistent hard bake process, consistent chill process, etc.) and the timing between the various processing steps is the same for each substrate. Lithography type device fabrication processes can be especially sensitive to variations in process recipe variables and the timing between the recipe steps, which directly affects process variability and ultimately device performance. Therefore, a cluster tool and supporting apparatus capable of performing a process sequence that minimizes process variability and the variability in the timing between process steps is needed. Also, a cluster tool and supporting apparatus that is capable of performing a device fabrication process that delivers a uniform and repeatable process result, while achieving a desired substrate throughput is also needed.


Therefore, there is a need for a system, a method and an apparatus that can process a substrate so that it can meet the required device performance goals and increase the system throughput and thus reduce the process sequence CoO.


SUMMARY OF THE INVENTION

The present invention generally provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and a second group of two or more process chambers that are stacked vertically, wherein the each substrate processing chamber in the first and second groups has a first side that is aligned along a first direction that is generally perpendicular to the vertical direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically and a fourth group of two or more process chambers that are stacked vertically, wherein the each substrate processing chamber in the third and fourth groups has a first side that is aligned along the first direction, a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack, a second robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the second processing rack, a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly, a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly, and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module in the interface assembly.


Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is aligned along the first direction, a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly comprises a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane, and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction, a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly comprises a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within the first plane, wherein the first plane is parallel to the first direction and the second direction which is orthogonal to the first direction, a third motion assembly that is adapted to position the second robot in a third direction that is generally perpendicular to the first plane, and a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction, a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack, a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack, and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module in the interface assembly.


Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and each process chamber has a first width aligned along a first direction, and a second group of two or more process chambers that are stacked vertically and each process chamber has a second width aligned along a first direction, wherein the first direction is generally perpendicular to the vertical direction and the second width is generally a multiple of the first width, and a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack.


Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically, and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically, and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is aligned along a first direction, a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly is contained within a central module and comprises a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane, and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction, a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly is contained within a central module and comprises a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a third motion assembly that is adapted to position the second robot in a third direction that is generally perpendicular to the first plane, and a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction, a front-end robot positioned in an interface assembly that is positioned adjacent to the first and second processing racks, wherein the front-end robot is adapted to transfer a substrate to and from a cassette that is in communication with the interface assembly, and a pass-through chamber positioned proximate to the central module and the interface assembly and is adapted to receive a substrate from the front-end robot, the first robot assembly and the second robot assembly.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 (Prior Art) is a plan view illustrating a conventional cluster tool configuration;



FIG. 2A is an isometric view illustrating one embodiment of a cluster tool of the invention;



FIG. 2B is a plan view of the processing system illustrated in FIG. 2A, according to the present invention;



FIG. 2C illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein;



FIG. 2D is a plan view of the processing system illustrated in FIG. 2B, according to the present invention;



FIG. 2E is a side view that illustrates one embodiment of the first processing rack assembly 60 according to the present invention;



FIG. 2F is a side view that illustrates one embodiment of the second processing rack assembly 80 according to the present invention;



FIG. 3 is a side view of one embodiment of an exchange chamber, according to the present invention;



FIG. 4A is a plan view of a processing system, according to the present invention;



FIG. 4B is a plan view of a processing system illustrated in FIG. 4A, according to the present invention;



FIG. 5 is an isometric view illustrating one embodiment of a robot that may be adapted to transfer substrates in various embodiments of the cluster tool;



FIG. 6 is an isometric view illustrating one embodiment of a cluster tool of the invention;



FIG. 7 is a plan view of the processing system according to one aspect of the present invention.





DETAILED DESCRIPTION

The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.



FIGS. 2-7 illustrate some of the various robot and process chamber configurations that may be used in conjunction with various embodiments of this invention. The various embodiments of the cluster tool 10 generally utilize two or more robots that are configured in a parallel processing configuration to transfer substrates between the various processing chambers retained in the processing racks (e.g., elements 60, 80, etc.) so that a desired processing sequence can be performed on the substrates. In one embodiment, the parallel processing configuration contains two or more robot assemblies 11 (elements 11A, 11B in FIGS. 2A and 2B) that are adapted to move a substrate in a vertical (hereafter the z-direction) and horizontal directions, i.e., transfer direction (x-direction) and a direction orthogonal to the transfer direction (y-direction), so that the substrates can be processed in various processing chambers retained in the processing racks (e.g., elements 60 and 80) which are aligned along the transfer direction. One advantage of the parallel processing configuration is that if one of the robots becomes inoperable, or is taken down for servicing, the system can still continue to process substrates using the other robots retained in the system. Generally, the various embodiments described herein are advantageous since each row or group of substrate processing chambers are serviced by two or more robots to allow for increased throughput and increased system reliability. Also, the various embodiments described herein are generally configured to minimize and control the particles generated by the substrate transferring mechanisms, to prevent device yield and substrate scrap problems that can affect the CoO of the cluster tool. Another advantage of this configuration is the flexible and modular architecture allows the user to configure the number of processing chambers, processing racks, and processing robots required to meet the throughput needs of the user. While FIGS. 2-7 illustrate one embodiment of a robot assembly 11 that can be used to carryout various aspects of the invention, other types of robot assemblies 11 may be adapted to perform the same substrate transferring and positioning function(s) without varying from the basic scope of the invention.


First Cluster Tool Configuration


A. System Configuration



FIG. 2A is an isometric view of one embodiment of a cluster tool 10 that illustrates a number of the aspects of the present invention that may be used to advantage. FIG. 2A illustrates an embodiment of the cluster tool 10 which contains two robots that are adapted to access the various process chambers that are stacked vertically in a first processing rack assembly 60 and a second processing rack assembly 80 and an external module 5. In one aspect, when the cluster tool 10 is used to complete a photolithography processing sequence the external module 5, may be a stepper/scanner tool, that is attached to the rear region 45 (not shown in FIG. 2A) to perform some additional exposure type processing step(s). One embodiment of the cluster tool 10, as illustrated in FIG. 2A, contains a front end module 24 and a central module 25.



FIG. 2B is a plan view of the embodiment of the cluster tool 10 shown in FIG. 2A. The front end module 24 generally contains one or more pod assemblies 105 (e.g., items 105A-D) and a front end robot assembly 15. The one or more pod assemblies 105, or front-end opening unified pods (FOUPs), are generally adapted to accept one or more cassettes 106 that may contain one or more substrates “W”, or wafers, that are to be processed in the cluster tool 10. In one aspect, the front end module 24 also contains one or more pass-through positions 9 (e.g., elements 9A-B FIG. 2B).


In one aspect, the central module 25 has a first robot assembly 11A, a second robot assembly 11B, a first rear robot assembly 40A, a second rear robot assembly 40B, a first stepper robot assembly 46, a first processing rack assembly 60 and a second processing rack assembly 80. The first processing rack assembly 60 and a second processing rack assembly 80 contain various processing chambers (e.g., coater/developer chamber, bake chamber, chill chamber, wet clean chambers, etc. which are discussed below (FIGS. 2C-D)) that are adapted to perform the various processing steps found in a substrate processing sequence.



FIGS. 2E and 2F illustrate side views of one embodiment of the first processing rack assembly 60 and second processing rack assembly 80 as viewed when facing the first processing rack assembly 60 and second processing racks 80 while standing on the side closest to side 60A, and thus will coincide with the views shown in FIGS. 2A-2F, 4A-4B and 7. The first processing rack assembly 60 and second processing rack assembly 80 generally contain one or more groups of vertically stacked processing chambers, or individual racks that are adapted to perform some desired semiconductor or flat panel display device fabrication processing steps on a substrate. For example, in FIG. 2C the first process rack assembly 60 has five groups, or racks, containing vertically stacked processing chambers. In general these device fabrication processing steps may include depositing a material on a surface of the substrate, cleaning a surface of the substrate, etching a surface of the substrate, or exposing the substrate to some form of radiation to cause a physical or chemical change to one or more regions on the substrate. In one embodiment, the first processing rack assembly 60 and second processing rack assembly 80 have one or more processing chambers contained in them that can be adapted to perform one or more photolithography processing sequence steps. In one aspect, processing racks 60 and 80 may contain one or more coater/developer chambers 160, one or more chill chambers 180, one or more bake chambers 190, one or more optical edge bead removal (OEBR) chambers 162, one or more post exposure bake (PEB) chambers 130, one or more support chambers 165, an integrated bake/chill chamber 800, integrated PEB chambers 801 and/or one or more hexamethyldisilazane (HMDS) processing chambers 170. Exemplary coater/developer chambers, chill chambers, bake chambers, OEBR chambers, PEB chambers, support chambers, integrated bake/chill chambers and/or HMDS processing chambers that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned U.S. patent application Ser. No. 11/112,281, filed Apr. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. Examples of an integrated bake/chill chamber and an integrated PEB chamber that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned U.S. patent application Ser. No. 11/111,154, filed Apr. 11, 2005 and U.S. patent application Ser. No. 11/111,353, filed Apr. 11, 2005, which are hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.


In one embodiment, as shown in FIG. 2E, where the cluster tool 10 is adapted to perform a photolithography type process, the first processing rack assembly 60 may have eight coater/developer chambers 160 (labeled CD1-8), six chill chambers 180 (labeled C1-6), six integrated bake/chill chamber 800 (labeled BC1-6), eight integrated PEB chambers 801 (labeled PEBC 1-8), and two OEBR chambers 162 (labeled OEBR 1-2). In one embodiment, as shown in FIG. 2F, where the cluster tool 10 is adapted to perform a photolithography type process, the second process rack 80 may have eight coater/developer chambers 160 (labeled CD1-8), eighteen integrated bake/chill chambers 800 (labeled BC1-18), six integrated PEB chambers 801 (labeled PEBC 1-8) and six support chambers 165 (labeled S1-6). The orientation, positioning, type and number of process chambers shown in the FIGS. 2E-F are not intended to be limiting as to the scope of the invention, but are intended to illustrate an embodiment of the invention. An example of an exemplary integrated bake/chill chamber or integrated PEB chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned U.S. patent application Ser. No. 11/174,781, filed Jul. 5, 2005, U.S. patent application Ser. No. 11/174,782, filed Jul. 5, 2005 and U.S. patent application Ser. No. 11/413,960, filed Apr. 28, 2006, which are all hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. An example of an exemplary coater/developer chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned U.S. patent application Ser. No. 11/112,281, filed Apr. 22, 2005, U.S. patent application Ser. No. 11/111,353, filed Apr. 20, 2005 and U.S. patent application Ser. No. 11/111,154, filed Apr. 20, 2005, which are hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. An example of an exemplary bake/chill chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned U.S. patent application Ser. No. 11/112,281, filed Apr. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.


Referring to FIG. 2B, in one embodiment, the front end robot assembly 15 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 (see elements 105A-D) and the one or more of the pass-through positions 9 (see pass-through positions 9A-B in FIG. 2B). In another embodiment, the front end robot assembly 15 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and the one or more processing chambers in the first processing rack assembly 60 or a second processing rack assembly 80 that abuts the front end module 24. The front end robot assembly 15 generally contains a horizontal motion assembly 15A and a robot 15B, which in combination are able to position a substrate in a desired horizontal and/or vertical position in the front end module 24 or the adjoining positions in the central module 25. The front end robot assembly 15 is adapted to transfer one or more substrates using one or more robot blades 15C, by use commands sent from a system controller 101 (discussed below). In one sequence the front end robot assembly 15 is adapted to transfer a substrate from the cassette 106 to one of the pass-through positions 9A-9B. Generally, a pass-through position is a substrate staging area that may contain a pass-through processing chamber that has features similar to an exchange chamber 533 (FIG. 3), or a conventional substrate cassette 106, and is able to accept a substrate from a first robot so that it can be removed and repositioned by a second robot. In one aspect, the pass-through processing chamber mounted in a pass-through position may be adapted to perform one or more processing steps in a desired processing sequence, for example, a HMDS process step or a chill/cooldown processing step or substrate notch align. In one aspect, each of the pass-through positions 9A-9B may be accessed by each of the central robot assemblies (i.e., first robot assembly 11A and second robot assembly 11B).


Referring to FIGS. 2A-B, the first robot assembly 11A and the second robot assembly 11B are adapted to transfer substrates to the various processing chambers contained in the first processing rack assembly 60 and the second processing rack assembly 80. In one embodiment, to perform the process of transferring substrates in the cluster tool 10 the first robot assembly 11A and the second robot assembly 11B have similarly configured robot assemblies 11 which each have at least one horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85 which are in communication with a system controller 101. In one aspect, the side 60B of the first processing rack assembly 60, and the side 80A of the second processing rack assembly 80 are both aligned along a direction parallel to the horizontal motion assembly 90 (described below) of each of the various robot assemblies (i.e., first robot assembly 11A and second robot assembly 11B).


The system controller 101 is adapted to control the position and motion of the various components used to complete the transferring process. The system controller 101 is generally designed to facilitate the control and automation of the overall system and typically includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown). The CPU may be one of any form of computer processors that are used in industrial settings for controlling various system functions, chamber processes and support hardware (e.g., detectors, robots, motors, gas sources hardware, etc.) and monitor the system and chamber processes (e.g., chamber temperature, process sequence throughput, chamber process time, I/O signals, etc.). The memory is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. A program (or computer instructions) readable by the system controller 101 determines which tasks are performable on a substrate. Preferably, the program is software readable by the system controller 101 that includes code to perform tasks relating to monitoring and execution of the processing sequence tasks and various chamber process recipe steps.


Referring to FIG. 2B, in one aspect of the invention the first robot assembly 11A is adapted to access and transfer substrates between the processing chambers in the first processing rack assembly 60 from at least one side, e.g., the side 60B. In one aspect, the second robot assembly 11B is adapted to access and transfer substrates between the processing chambers in the second processing rack assembly 80 from at least one side, e.g., the side 80A. In one aspect, it is desirable to only allow the first robot assembly 11A to access the processing chambers contained in the first processing rack assembly 60 and only allow the second robot assembly 11B to access the processing chambers contained in the second processing rack assembly 80 to prevent robot collisions and reduce the number of process variables that each substrate is exposed to (e.g., transfer time, robot blade temperature) during a desired processing sequence.


In one embodiment, the first robot assembly 11A and the second robot assembly 11B are both adapted to “overlap” or access and transfer substrates between the processing chambers in the first processing rack assembly 60 from side 60B and the second processing rack assembly 80 from side 80A. The ability to extend the robot blade 87 into a processing chamber and retract the robot blade 87 from the processing chamber is generally completed by cooperative movement of the components contained in the horizontal motion assembly 90, vertical motion assembly 95, and robot hardware assembly 85, and by use of commands sent from the system controller 101. The ability of two or more robots to “overlap” with one another, such as the first robot assembly 11A and the second robot assembly 11B, is advantageous since it allows substrate transfer redundancy which can improve the cluster reliability, uptime, and also increase the substrate throughput. Robot “overlap” is generally the ability of two or more robots to access and/or independently transfer substrates to and from the same processing chamber. The ability of two or more robots to redundantly access processing chambers can be an important aspect in preventing system robot transfer bottlenecks, since it allows an under utilized robot to help out a robot that is limiting the system throughput. Therefore, the substrate throughput can be increased, a substrate's wafer history can be made more repeatable, and the system reliability can be improved through the act of balancing the load that each robot takes during the processing sequence.


In one aspect of the invention, the various overlapping robot assemblies (e.g., elements 11A, 11B, etc. in FIGS. 2-7) are able to simultaneously access processing chambers that are horizontally adjacent (x-direction) or vertically adjacent (z-direction) to each other. For example, when using the cluster tool configurations illustrated in FIGS. 2B and 2F, the first robot assembly 11A is able to access processing chamber CD6 in the first processing rack assembly 60 and the second robot assembly 11B is able to access processing chamber CD5 simultaneously without colliding or interfering with each other. In another example, when using the cluster tool configurations illustrated in FIGS. 2B and 2F, the first robot assembly 11A is able to access processing chamber C6 in the second processing rack assembly 80 and the second robot assembly 11B is able to access processing chamber BC11 simultaneously without colliding or interfering with each other.


In one aspect, the system controller 101 is adapted to adjust the substrate transfer sequence through the cluster tool based on a calculated optimized throughput or to work around processing chambers that have become inoperable. The feature of the system controller 101 which allows it to optimize throughput is known as the logical scheduler. The logical scheduler prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool. The logical scheduler may be adapted to review the list of future tasks requested of each of the various robots (e.g., front end robot assembly 15, first robot assembly 11A, second robot assembly 11B etc.), which are retained in the memory of the system controller 101, to help balance the load placed on each of the various robots. The use of a system controller 101 to maximize the utilization of the cluster tool will improve the cluster tool's CoO, makes the wafer history more repeatable, and can improve the cluster tool's reliability.


In one aspect, the system controller 101 is also adapted to prevent collisions between the various overlapping robots and optimize the substrate throughput. In one aspect, the system controller 101 is further programmed to monitor and control the motion of the horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85 of all the robots in the cluster tool to avoid a collision between the “overlapping” robots and improve system throughput by allowing all of the robots to be in motion at the same time. This so called “collision avoidance system,” may be implemented in multiple ways, but in general the system controller 101 monitors the position of each of the robots by use of various sensors positioned on the robot(s) or in the cluster tool during the transferring process to avoid a collision. In one aspect, the system controller is adapted to actively alter the motion and/or trajectory of each of the robots during the transferring process to avoid a collision and minimize the transfer path length.


Referring to FIG. 2B, in one embodiment, the first interface chamber 48 and/or the second interface chamber 49 are configured to perform an optical edge bead removal process (OEBR), a substrate chill process, or an integrated bake and chill process. An example of an exemplary optical edge bead removal chamber, a substrate chill chamber or an integrated bake and chill chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned U.S. patent application Ser. No. 11/112,281, filed Apr. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. In one embodiment, the first interface chamber 48 and/or the second interface chamber 49 are adapted to perform metrology type functions and communicate the results to the user through the controller 101. In one aspect, the interface chambers 48, 49 contain an array of vertically stacked metrology chambers (not shown) so that multiple substrates can be processed in parallel. In one aspect, the interface chambers 48, 49 contain a multiple metrology chambers (not shown) and multiple exchange chamber position (not shown) similar to the one illustrated in FIG. 3. Typical metrology chambers may include, but is not limited to conventional particle measurement tools, conventional resist thickness measurement tools, and/or conventional CD measurement tools.


Interface Robot Configurations


In one embodiment, as shown in FIGS. 2A-2F, the central module 25 has a first rear robot assembly 40A, second rear robot assembly 40B and an interface assembly 47 that are adapted to interface with an external module 5, such as a stepper/scanner device 50 to allow a seamless processing sequence. In this configuration the first rear robot assembly 40A, second rear robot assembly 40B and an interface robot 46 contained in the interface assembly 47 are adapted to transfer and control the substrate movement between the cluster tool 10 and the external module 5. In one aspect, the first rear robot assembly 40A and second rear robot assembly 40B are adapted to transfer substrates between the processing chambers retained in the first processing rack assembly 60 and/or the second processing rack assembly 80 and positions within the interface assembly 47 or positions within the external module 5. In one aspect, the external module 5 is conventional stepper or scanner module available from, for example, Nikon Precision Inc. of Belmont, Calif., Canon U.S.A. Inc. of Lake Success, N.Y., or ASML US Inc. of Tempe, Ariz. In one embodiment, the first rear robot assembly 40A and second rear robot assembly 40B are adapted to directly access and position substrates within the external module 5. In one aspect, it may be desirable to eliminate the interface robot 46 from the cluster tool configuration when the first rear robot assembly 40A and second rear robot assembly 40B are adapted to directly access and position substrates within the external module 5.


Referring to FIG. 2B, in one aspect, the first rear robot assembly 40A and the second rear robot assembly 40B generally contains a conventional selectively compliant articulated robot arm (SCARA) robots having a single arm/blade 40E. In another embodiment, the first rear robot assembly 40A and the second rear robot assembly 40B may be a SCARA type of robot that has two independently controllable arms/blades (not shown) to exchange substrates and/or transfer substrates in groups of two. The two independently controllable arms/blade type robot may be advantageous, for example, where the robot has to remove a substrate from a desired position prior to placing the next substrate in the same position. An exemplary two independently controllable arms/blade type robot may be purchased from Asyst Technologies in Fremont, Calif.


In one embodiment, the interface assembly 47 generally contains the interface robot 46, a first interface chamber 48 and a second interface chamber 49 that are adapted to position and control the movement of substrates between various load/unload positions within the external module 5. In one embodiment, the first interface chamber 48 and the second interface chamber 49 are pass-through type chambers that allow substrates moving from the central module 25 to the external module 5 or from the external module 5 to the central module 25 to be handed off between the stepper interface robot 46 and the respective rear robot assemblies, such as the first rear robot assembly 40A or the second rear robot assembly 40B. This configuration is advantageous since it allows the stepper interface robot 46 to control the transfer of the substrates between the external module 5 and the central module 25. The use of the stepper interface robot 46 can also be used to free-up the rear robot assemblies (e.g., items #40A and 40B) to perform the critical tasks at the time when they arise rather than waiting until tasks of lower importance be completed.


Exchange Chamber



FIG. 3 illustrates one embodiment of an exchange chamber 533 that may be positioned in a support chamber 165 (FIG. 2F) in a processing rack (e.g., elements 60, 80). In one embodiment, the exchange chamber 533 is adapted to receive and retain a substrate so that at least two robots in the cluster tool 10 can deposit or pickup a substrate. In one aspect, the rear robot assembly 40 and at least one robot in the central module 25 are adapted to deposit and/or receive a substrate from the exchange chamber 533. The exchange chamber 533 generally contains a substrate support assembly 601, an enclosure 602, and at least one access port 603 formed in a wall of the enclosure 602. The substrate support assembly 601 generally has a plurality of support fingers 610 (six shown in FIG. 3) which have a substrate receiving surface 611 to support and retain a substrate positioned thereon. The enclosure 602 is generally a structure having one or more walls that enclose the substrate support assembly 601 to control the environment around the substrates while they are retained in the exchange chamber 533. The access port 603 is generally an opening in a wall of the enclosure 602 that allows an external robot access to pickup and drop off substrates to the support fingers 610. In one aspect, the substrate support assembly 601 is adapted to allow substrates to be positioned on and removed from the substrate receiving surface 611 by two or more robots that are adapted to access the enclosure 602 at angles of at least 90 degrees apart.


B. Transfer Sequence Example



FIG. 2C illustrates one example of a substrate processing sequence 500 through the cluster tool 10, where a number of process steps (e.g., elements 501-518) may be performed after each of the transferring steps A1-A11 have been completed. One or more of the process steps 501-518 may entail performing lithography type fluid processing steps on a substrate, to deposit a material on a surface of the substrate, to clean a surface of the substrate, to develop the deposited material on the substrate surface, or to exposing the substrate to some form of radiation to cause a physical or chemical change to one or more regions on the substrate. FIG. 2D illustrates an example of the transfer steps that a substrate may follow as it is transferred through a cluster tool that is configured as the cluster tool similar to the one shown in FIG. 2B following the processing sequence 500 described in FIG. 2C. In this embodiment, the substrate is removed from a pod assembly 105 (item # 105D) by the front end robot assembly 15 and is delivered to a chamber positioned at the pass-through position 9B following the transfer path A1, so that the pass-through step 502 can be completed on the substrate. In one aspect, the pass-through step 502 entails positioning or retaining the substrate so that another robot could pickup the substrate from the pass-through position 9B.


Once the pass-through step 502 has been completed, the substrate is then transferred to a first process chamber 531 by the second robot assembly 11B following the transfer path A2, where process step 504 is completed on the substrate. After completing the process step 504 the substrate is then transferred to the second process chamber 532 by the second robot assembly 11B following the transfer path A3. After performing the process step 506 the substrate is then transferred by the second robot assembly 11B, following the transfer path A4, to the exchange chamber 533 (FIG. 3). After performing the process step 508 the substrate is then transferred by the first rear robot assembly 40A, following the transfer path A5, to the first interface chamber 48 where the process step 509 is performed. After performing process step 509 the substrate is then transferred by the stepper interface robot 46, following the transfer path A6, to an exchange position 51 in the stepper/scanner module 50 where the process step 510 is performed. After performing process step 510 the substrate is then transferred by the stepper interface robot 46, following the transfer path A7, to the second interface chamber 49 in the stepper interface assembly 47 where the process step 511 is performed. In one aspect, the process steps 509 and 511 entail positioning or retaining the substrate so that the first rear robot assembly 40A, second rear robot assembly 40B and/or the stepper interface robot 46 can pickup and transfer the substrate to a desired position. After performing process step 511 the substrate is then transferred by the second rear robot assembly 40B, following the transfer path A8, to the process chamber 534 where the process step 512 is performed. After performing the process step 512 the substrate is then transferred by the first robot assembly 11A, following the transfer path A9, to the process chamber 535 where the process step 514 is performed. After the process step 514 is complete, the first robot assembly 11A then transfers the substrate to a pass-through chamber positioned at the pass-through position 9A following the transfer path A10 where a pass-through step 516 is performed. In one embodiment, the pass-through step 516 entails positioning or retaining the substrate so that another robot could pickup the substrate from the pass-through position 9A. After performing the pass-through step 516 the substrate is then transferred by the front end robot assembly 15, following the transfer path A11, to the pod assembly 105D.


In one embodiment, process steps 504, 506, 510, 512, and 514 are a photoresist coat step, a bake/chill step, an exposure step performed in a stepper/scanner module 50, a post exposure bake/chill step, and a develop step, respectively, which are further described in the commonly assigned U.S. patent application Ser. No. 11/112,281, filed Apr. 22, 2005, which is incorporated by reference herein. The bake/chill step and the post exposure bake/chill steps may be performed in a single process chamber or they may also be transferred between a bake section and a chill section of an integrated bake/chill chamber by use of an internal robot (not shown). While FIGS. 2C-D illustrate one example of a process sequence that may be used to process a substrate in a cluster tool 10, process sequences and/or transfer sequences that are more or less complex may be performed without varying from the basic scope of the invention.


The cluster tool configuration described above may be advantageously used to perform processing sequences where a tight control of the timing between certain steps has to be maintained to assure that the wafer history and process results for each processed substrate is repeatable. For example, the timing between some lithography type process steps, such as between the exposure step 510 (see FIG. 2C) and a post exposure bake step (step 512 in FIG. 2C) are critical to assure that the process results (e.g., critical dimension (CD) uniformity) is acceptable and consistent from substrate to substrate. The timing issue in a lithography type process sequence generally arises after performing the exposure process (step 510 in FIG. 2C) on a positive photoresist material, which causes a chemical change in the photoresist material.


During the exposure process, components in the photoresist that contain photoacid generators (or PAGs) generate an organic acid that can attack the unexposed areas of the photoresist and affect the sharpness of the pattern formed in the photoresist layer during the exposure process. The attack of the unexposed photoresist is thus affected by the migration of the generated photoacid, which is a diffusion dominated process. Since the photoacid attack of the formed pattern is a diffusion dominated process, the rate of attack is dependent on two related variables, time and temperature. The control of these variables are thus important in assuring that CD uniformity is acceptable and consistent from substrate to substrate.


The configuration(s) illustrated herein, are useful to assure that timing of the transfer process during certain critical steps, such as between steps 510 through 512, is minimized and is repeatable by use of the three robot configuration. This process is important when the substrate throughput through the Cluster tool 10 and the stepper/scanner 50 is high, such as when it exceeds 120 substrates per hour, since the stepper/scanner 50 takes-in, processes and hands-off the substrates in less than 30 second intervals. Therefore, by assuring that at least one robot (e.g., first rear robot assembly 40A, second rear robot assembly 40B) is dedicated to assure that the substrates leaving the stepper/scanner 50 always see the same timing between process steps (e.g., steps 510 through 512) to assure that the device results are desirable and repeatable.


Second Cluster Tool Configuration


A. System Configuration



FIG. 4A is a plan view of one embodiment of cluster tool 10 that has a front end robot assembly 15, a rear robot assembly 40, a system controller 101 and three robot assemblies 11 (e.g., elements 11A, 11B, and 11C in FIG. 4A) positioned between two processing racks (elements 60 and 80), which are all adapted to perform at least one aspect of a desired substrate processing sequence using the various processing chambers found in the processing racks. The embodiment illustrated in FIG. 4A is similar to the configurations illustrated in FIGS. 2A-F except for the addition of the third robot assembly 11C and pass-through position 9C, thus like element numbers have been used where appropriate. The cluster tool configuration illustrated in FIG. 4A may be advantageous where the substrate throughput is robot limited, because the addition of the third robot assembly 11C will help to remove the burden on the other robots and also builds in some redundancy that allows the system to process substrates when one or more of the central robots become inoperable. In one aspect, the side 60B of the first processing rack assembly 60, and the side 80A of the second processing rack assembly 80 are both aligned along a direction parallel to the horizontal motion assembly 90 of each of the various robot assemblies (e.g., first robot assembly 11A, second robot assembly 11B, etc.).


In one aspect, the first robot assembly 11A is adapted to access and transfer substrates between the processing chambers in the first processing rack assembly 60 from side 60B. In one aspect, the second robot assembly 11B is adapted to access and transfer substrates between the processing chambers in the second processing rack assembly 80 from side 80A. In one aspect, the third robot assembly 11C is adapted to access and transfer substrates between the processing chambers in first processing rack assembly 60 from side 60B and the second processing rack assembly 80 from side 80A.



FIG. 4B illustrates a plan view of the embodiment of the cluster tool 10 shown in FIG. 4A, in which a robot blade 87 from the third robot assembly 11C has been extended into the a processing chamber in the first processing rack assembly 60 through side 60B. The ability to extend the robot blade 87 into a processing chamber and/or retract the robot blade 87 into a processing chamber is generally completed by cooperative movement of the robot assembly 11 components, which are contained in the horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85, and by use of commands sent from the system controller 101. As discussed above the third robot assembly 11C along with the system controller 101 may be adapted to allow “overlap” between each of the robots in the cluster tool, may allow the system controller's logical scheduler to prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool, and may also use a collision avoidance system to allow robots to optimally transfer substrates through the system. Use of the system controller 101 to maximize the utilization of the cluster tool can improve the cluster tool's CoO, makes the wafer history more repeatable, and improves the system reliability.


Robot Assemblies


In general the various embodiments of the cluster tool 10 described herein have particular advantage over prior art configurations due to the reduced cluster tool foot print created by the reduced size of the robot assemblies (e.g., element 11 in FIG. 9A) and a robot design that minimizes the physical encroachment of a robot into a space occupied by other cluster tool components (e.g., robot(s), process chambers) during the process of transferring a substrate. The reduced physical encroachment prevents collisions of the robot with other foreign components. While reducing the footprint of the cluster tool, the embodiments of the robot described herein, also has particular advantage due to the reduced number of axes that need to be controlled to perform the transferring motion. This aspect is important since it will improve the reliability of the robot assemblies and thus the cluster tool. The importance of this aspect may be better understood by noting that the reliability of a system is proportional to the product of the reliability of each component in the system.


The embodiments of the cluster tool 10 described herein also have particular advantage over prior art configurations due to the reduced number of pass-through chambers (e.g., elements 9A-C in FIG. 2B), required to transfer a substrate though the cluster tool. The prior art cluster tool configurations commonly install two or more pass-through chambers, or of interim substrate retaining stations, in the processing sequence so that the cluster tool robots can transfer a substrate between one robot that is centrally positioned between one or more processing chambers to another robot that is centrally positioned between one or more other processing chambers during the processing sequence. The process of successively placing a substrate in multiple pass-through chambers that will not perform a subsequent processing step wastes time, decreases the availability of the robot(s), wastes space in the cluster tool, and increases the wear on the robot(s). The addition of the pass-through steps will also adversely affect device yield, due to the increase in the number of substrate handoffs which will increase the amount of backside particle contamination. Also, substrate processing sequences that contain multiple pass-through steps will inherently have different substrate wafer histories, unless the time spent in the pass-through chamber is controlled for every substrate.


Controlling the time in the pass-through chamber will increase the system complexity, due to an added process variable, and it will hurt the maximum achievable substrate throughput. In a case where the system throughput is robot limited, the maximum substrate throughput of the cluster tool is governed by the total number of robot moves to complete the process sequence and the time it takes to make the robot move. The time it takes a robot to make a desired move is usually limited by robot hardware, distance between processing chambers, substrate cleanliness concerns, and system control limitations. Typically the robot move time will not vary much from one type of robot to another and is fairly consistent industry wide. Therefore, a cluster tool that inherently has fewer robot moves to complete the processing sequence will have a higher system throughput than a cluster tool that requires more moves to complete the processing sequence, such as cluster tools that contains multiple pass-through steps.


The aspects of the invention, described herein, generally avoid these pitfalls of the prior art configurations, since the inventive cluster tool configuration generally only utilizes the pass-through type steps (e.g., steps 502, 508, 511 and 518 in FIG. 2C) before any processing has occurred on a substrate and after all of the processing steps have been completed on a substrate and thus the process timing and wafer history are not as much of an issue. In cases where a pass-through is positioned between time critical steps, such as step 511 in FIG. 2C, the cluster tool configuration illustrated herein is configured so that the robots performing these time critical steps can complete these tasks without affecting the movement and timing of the substrates upstream or downstream of this critical transfer process step.


For example, in a configuration such as the one shown in FIG. 1, the robot 17C needs to suspend any transferring tasks within its processing cell 16 to perform a time critical transferring task, which thus affects the timing and flow of substrates into and out-of the processing cells. To compensate for this problem the prior art configurations have to control, or regulate, the timing of substrates into and out-of each cell (e.g., item # 16 in FIG. 1) in the cluster tool to assure that each robot (e.g., item # 17A-17C in FIG. 1) has enough overhead time to assure that its time critical tasks can be completed and the wafer history is not dramatically affected. The process of controlling the timing of substrates into and out-of the processing cells in the prior art configurations to maintain a consistent wafer history dramatically reduces the substrate throughput, since the substrate throughput needs to be slowed so that conflicting substrate transferring priorities do not affect the timing between steps in all possible conflicting and non-conflicting situations.


The aspects of the invention described herein, decouple the flow of substrates through the critical transfer steps by providing one or more robots (e.g., robot 40B) that are specifically tasked with performing the critical timing steps while allowing other robots (e.g., robots 40A and 46) to perform the lower priority tasks. This configuration is especially advantageous where the throughput of the process sequence in the cluster tool 10 does not match the throughput of the external module 5 connected to the system. For example, when following the processing sequence shown in FIG. 2C if the throughput of substrates transferred to the chamber performing the exchange process 509 is faster than the throughput of the external module 5 the system through-put need not be reduced if the substrate is in a stable processing state after step 509 and thus will allow a repeatable wafer history. In one case the higher throughput into the chamber performing the exchange process 509 versus the throughput of the external module 5 is compensated by storing the substrates reaching the exchange chamber in multiple “buffer” positions (e.g., support fingers 610 in FIG. 3) so that they can then be picked-up and transferred to the external module 5 as needed. In another example, in the case where the throughput of substrates entering the external module 5 is slower than the exposure step 510 and/or the other down stream process steps (e.g., steps 511-518) the system through-put need not be coordinated with the upstream process steps and thus the system throughput need not be reduced.


The configuration of the novel cluster tool described herein is advantageous for multiple reasons. First, the process transferring of substrate in the configurations described herein doesn't require the same robots to transfer the substrates moving upstream and downstream at the same time, as required in the prior art systems shown in FIG. 1, and thus the creation of a substrate processing and/or a robot transferring bottleneck affects the upstream and downstream flow of substrates through the system. Second, the throughput can be greatly increased when the robot assemblies 11 and processing modules configured to process or transfer multiple substrates in parallel, since a bottleneck in the transferring process need not limit the substrate throughput. Third, the process results (e.g., CD uniformity) achieved on the processed substrate need not be degraded due to transferring process bottlenecks found in the upstream processes. The configurations described herein thus create a transferring process that has a repeatable wafer history, and delivers improved substrate process results and an improved substrate through-put.


Cartesian Robot Configuration



FIG. 5 illustrates isometric view of one embodiment of a robot assembly 11 that may be used as one or more of the robot assemblies 11 (e.g., elements 11A-C shown in FIGS. 2A-2F and FIGS. 4A-4B above). The robot assembly 11 generally contains a robot hardware assembly 85, one or more vertical robot assemblies 95 and one or more horizontal robot assemblies 90. A substrate can thus be positioned in any desired x, y and z position in the cluster tool 10 by the cooperative motion of the robot hardware assemblies 85, vertical robot assemblies 95 and horizontal robot assemblies 90, from commands sent by the system controller 101.


The robot hardware assembly 85 generally contains one or more transfer robot assemblies 86 that are adapted to retain, transfer and position one or more substrates by use of commands sent from the system controller 101. In one embodiment, the transfer robot assemblies 86 shown in FIG. 5 are adapted to transfer the substrates in a horizontal plane, such as a plane that includes the X and Y directions, due to the motion of the various transfer robot assemblies 86 components. An example of an exemplary robot hardware assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned U.S. patent application Ser. No. 11/315,984, filed Dec. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. The configuration shown in FIG. 5, or “over/under” type blade configuration, may be advantageous, for example, where it is desired to remove a substrate from a processing chamber prior to placing the next substrate to be processed in the same processing chamber, without causing the robot hardware assembly 85 to leave its basic position to move the “removed” substrate to another chamber (i.e., “swap” substrates).



FIG. 5 illustrates an isometric view of one embodiment of the horizontal motion assembly 90. The horizontal motion assembly 90 generally contains an enclosure 460, an actuator assembly 443 and a sled mount 451. The actuator assembly 443 generally contains at least one horizontal linear slide assembly 468 and a motion assembly 442. The vertical motion assembly 95 is attached to the horizontal motion assembly 90 through the sled mount 451. The sled mount 451 is a structural piece that supports the various loads created as the vertical motion assembly 95 is positioned by the horizontal motion assembly 90. The horizontal motion assembly 90 generally contains two horizontal linear slide assemblies 468 that each have a linear rail 455, a bearing block (not shown) and a support mount (not shown) that support the weight of the sled mount 451 and vertical motion assembly 95. This configuration thus allows for a smooth and precise translation of the vertical motion assembly 95 along the length of the horizontal motion assembly 90. The linear rail 455 and the bearing block (not shown) may be linear ball bearing slides or a conventional linear guide, which are well known in the art. An example of an exemplary horizontal motion assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned U.S. patent application Ser. No. 11/315,984, filed Dec. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.



FIG. 5 also illustrate one embodiment of the vertical motion assembly 95. The vertical motion assembly 95 generally contains a vertical support (not shown), vertical actuator assembly 560, a support plate (not shown), and a vertical enclosure 590, that are adapted top control and position the robot assembly 85 in a vertical direction (e.g., z-direction in FIG. 5). An example of an exemplary vertical motion assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned U.S. patent application Ser. No. 11/315,984, filed Dec. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.


Cluster Tool Configurability Design


In one aspect of the invention, the cluster tool is configured so that it is expandable by the addition of extra processing capability without greatly increasing the number of robots, increasing the system control complexity and the size of the cluster tool. As noted above, the prior art configurations that utilize the processing cell 16 configuration, as shown in FIG. 1, require the addition of a robot every time the processing capability needs to be increased above the original capacity of the system. This issue can lead to the undesirable case where an additional robot and additional pass-throughs need to be added to the cluster tool to service only a few additional processing chambers to achieve a desired system throughput. When this occurs, prior art cluster tool manufacturers often de-rate, or reduce, the maximum cluster tool throughput rather than adding an additional processing cell that will increase in cost and complexity of the cluster tool, and reduce the cluster tool's reliability. The cluster tool configuration described herein thus de-couples the issues of substrate throughput, process capability, system reliability and robot capacity, so that changing the system requirements does not require the adjustment of the other aspects or parameters in the system.


Embodiments of the invention, described herein provide a modular approach to the configuration of cluster tool that allows the processing capability of the cluster tool to be increased without adding an additional substrate transferring apparatuses and pass-throughs, while only minimally increasing the system footprint. FIG. 6 is an isometric view of half of the cluster tool illustrated in FIG. 2A, for example processing rack 60 side of cluster tool 10, that illustrates a method of increasing the processing capability of the cluster tool 10 by adding of one or more stacked processing modules (e.g., items 621, 631) and increasing the robot length (e.g., items 640, 641). It should be noted that the addition of one or more stacked processing modules and increasing the length of one or more of the robot assemblies may be performed on one or more sides (e.g., processing rack 60, processing rack 80) of the cluster tool. The processing capability of cluster tool 10 is thus increased by the addition of stacked processing modules 621, 631, which contain multiple processing chambers 622 and 632, respectively.


In general, the addition of the stacked processing module 621 and/or stacked processing module 631 will require the robot assembly 11 to be extended a length 641 and/or length 642 to allow the robot to access the various processing chambers 622 and/or processing chambers 632 in the stacked processing modules. While the increase in the length of the cluster tool due to the addition of the stacked processing modules may increase the transfer time between chambers this component of the process of transferring a substrate is typically the smallest component of the transfer process overhead time. Typically the process of picking-up and dropping off of the substrate in the process chambers is the largest portion of the transferring process, which generally includes the steps of picking-up the substrate, transferring the substrate to the correct X, Y, and Z-positions, and dropping-off the substrate. It should be noted that the transferring process using a single robot that moves from one X, Y, and Z-position to another X, Y, and Z-position will be faster than the process of serially transferring a substrate between processing cells, as discussed above in reference to the prior art configuration shown in FIG. 1, due to the lack of the accumulation of multiple pick-up and drop-off transferring steps found in serial sequences.


In one embodiment, it may be desirable to add an additional robot assembly 11 to the cluster tool configuration to increase the throughput of the system (see FIG. 4A). The addition of another robot to the cluster tool configuration shown in FIG. 2B will not suffer all of the detrimental affects experienced by the prior art configurations, since the each robot assemblies 11 (e.g., items 11A, 11B and 11C in FIG. 4A) act in parallel rather than in series. In this case, while the overall reliability of the system will be reduced due to the addition of an additional component, but the uptime of the system will remain fairly constant due to the ability of the robots acting in parallel to take up the slack created when one of the robots becomes inoperable.


In one aspect, the processing rack assemblies (e.g., items 601, 611, 621, 631) are designed so that the widths of the stacked processing chambers aligned along a robot transfer direction, such as the X-direction in FIG. 6, are multiples of each other. Referring to FIG. 6, the width of the stacked processing module 601 is 2X and the width of the stacked processing module 611 is X, where X is some finite length, such as a width between about 0.4 meters and about 2.0 meters. The use of stacked processing chambers that have widths (e.g., X) that are multiples of one another (e.g., X, 2X, 3X) helps resolve some of the issues associated with grouping modules within a cluster tool that have differing shapes and sizes. In one example, the cluster tool contains processing modules that are configured in two discrete sizes where the smaller processing modules are about 0.5 meters in width and the larger process chambers are 1.0 meters in width. In another example, the cluster tool contains processing modules that are configured in two discrete sizes where the smaller processing modules are about 0.7 meters in width and the larger process chambers are 1.4 meters in width. This approach reduces the variability in robot lengths based on the make-up of the processing modules contained in the stacked processing modules and reduces the complexity of configuring systems for different processing applications.


Pass-Through Chamber Configuration



FIG. 7 is a plan view of the one embodiment of the cluster tool 10 that contains a multi-sided pass-through chamber 9A that is adapted to be accessed by multiple robots. In one aspect, the pass-through chamber is accessed by all of the central robot assemblies (e.g., first robot assembly 11A, second robot assembly 11B) and the front end robot assembly 15. Therefore, in one embodiment, as shown in FIG. 7 the pass-through position 9A is configured to allow the front end robot assembly 15, the first robot assembly 11A and the second robot assembly 11B to transfer a substrate to or from the pass-through position 9A. In one aspect, the transfer process may require a transferring step in which the first robot assembly 11A deposits a substrate on the pass-through position 9A where it is then picked up and transferred by the second robot assembly 11B to another desired position in the cluster tool. Referring to FIG. 2D and FIG. 7, whereas the transfer steps A1-A2 and A10-A11 found in FIG. 2D are transferred through two pass-through chambers 9A, 9B the configuration containing a multi-sided pass-through chamber allows the substrate to be exchanged through the single pass-through chamber 9A, as shown in FIG. 7.


While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A cluster tool for processing a substrate, comprising: a first processing rack comprising: a first group of two or more process chambers that are stacked in a vertical direction, each process chamber in the first group having a first width aligned along a first direction; anda second group of two or more process chambers that are stacked in the vertical direction, each process chamber in the second group having a second width aligned along the first direction;a first robot assembly translating in a direction parallel to the first direction and positioning a substrate in each of the process chambers in the first and second groups in the first processing rack;a second processing rack comprising: a third group of two or more process chambers that are stacked in the vertical direction, each process chamber in the third group having a third width aligned along the first direction; anda fourth group of two or more process chambers that are stacked in the vertical direction, each process chamber in the fourth group having a fourth width aligned along the first direction, wherein the fourth width is generally a multiple of the third width wherein the third width is between about 0.4 meters and about 2 meters; anda second robot assembly translating in a direction parallel to the first direction and positioning a substrate in each of the process chambers in the third and forth groups in the second processing rack.
  • 2. The apparatus of claim 1, wherein the first width is between about 0.4 meters and about 1 meter and the second width is between about 0.8 meters and about 2 meters.
  • 3. The apparatus of claim 1, further comprising: a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack;a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack; anda fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot communicates with an external module in the interface assembly.
  • 4. The apparatus of claim 3, further comprising a pass-through chamber, wherein the pass-through chamber is accessed by the first robot assembly, the second robot assembly, and the fifth robot assembly.
  • 5. The apparatus of claim 3, wherein the external module is selected from a group consisting of a stepper and a scanner.
  • 6. The apparatus of claim 1, further comprising: a third robot assembly that is in communication with a process chamber in the first processing rack and an external module which is positioned adjacent to the first processing rack; anda fourth robot assembly that is in communication with a process chamber in the second processing rack and an external module which is positioned adjacent to the second processing rack.
  • 7. The apparatus of claim 6, wherein the external module is selected from a group consisting of a stepper and a scanner.
  • 8. The apparatus of claim 1, wherein the first direction is generally perpendicular to the vertical direction and the second width is generally a multiple of the first width.
  • 9. The apparatus of claim 1, wherein the first robot assembly and the second robot assembly are contained within a central module.
  • 10. The apparatus of claim 9, wherein the first robot assembly comprises: a first robot having a robot blade and a substrate receiving surface located therein, wherein the first robot defines a transferring region and positions a substrate at one or more points generally contained within a first plane, the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction;a first motion assembly positioning the first robot in a third direction that is generally perpendicular to the first plane; anda second motion assembly positioning the first robot in a direction generally parallel to the first direction.
  • 11. The apparatus of claim 9, further comprising a pass-through chamber positioned proximate the central module, wherein the pass-through chamber is accessed by the first robot assembly and the second robot assembly.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation-in-part of U.S. patent application Ser. No. 11/315,984, filed Dec. 22, 2005 now U.S. Pat. No. 7,651,306, which is herein incorporated by reference. This application is also related to U.S. patent application Ser. No. 11/458,667, filed Aug. 19, 2006, U.S. patent application Ser. No. 11/112,281, filed Apr. 22, 2005, U.S. patent application Ser. No. 11/112,932, filed Apr. 22, 2005, U.S. Provisional Patent Application Ser. No. 60/673,848, filed Apr. 22, 2005, and U.S. Provisional Patent Application Ser. No. 60/639,109, filed Dec. 22, 2004.

US Referenced Citations (608)
Number Name Date Kind
1383093 Finch Jun 1921 A
1927677 Bennington Sep 1933 A
2578220 Billner Dec 1951 A
3193080 Speaker et al. Jul 1965 A
3206041 McGrath Sep 1965 A
3279625 McConnell et al. Oct 1966 A
3351219 Ruderfer et al. Nov 1967 A
3402835 Saul Sep 1968 A
3428195 Pamer Feb 1969 A
3610159 Fickenacher Oct 1971 A
3669206 Tax et al. Jun 1972 A
3750804 Lemelson Aug 1973 A
3782564 Burt Jan 1974 A
3796327 Meyer et al. Mar 1974 A
3876085 Bright Apr 1975 A
4027246 Caccoma et al. May 1977 A
4197000 Blackwood Apr 1980 A
4303433 Langowski Dec 1981 A
4319689 Clapp Mar 1982 A
4348044 Wood, III Sep 1982 A
4410209 Trapani Oct 1983 A
4609575 Burkman Sep 1986 A
4634655 Yanagimoto et al. Jan 1987 A
4639028 Olson Jan 1987 A
4664133 Silvernail May 1987 A
4682614 Silvernail Jul 1987 A
4715637 Hosoda et al. Dec 1987 A
4750505 Inuta et al. Jun 1988 A
4755257 Yamamoto et al. Jul 1988 A
4756047 Hayashi et al. Jul 1988 A
4774552 Nishihama et al. Sep 1988 A
4778332 Byers et al. Oct 1988 A
4788994 Shinbara Dec 1988 A
4803734 Onishi et al. Feb 1989 A
4830888 Kobayashi et al. May 1989 A
4838979 Nishida et al. Jun 1989 A
4844746 Hormann et al. Jul 1989 A
4846623 Otani et al. Jul 1989 A
4855775 Matsuoka Aug 1989 A
4856641 Matsumura et al. Aug 1989 A
4857949 Masuda et al. Aug 1989 A
4870923 Sugimoto Oct 1989 A
4871417 Nishizawa et al. Oct 1989 A
4892761 Yamada Jan 1990 A
4895604 Hayashi Jan 1990 A
4899686 Toshima et al. Feb 1990 A
4900214 Ben Feb 1990 A
4911761 McConnell et al. Mar 1990 A
4917123 McConnell et al. Apr 1990 A
4919073 Kobayashi et al. Apr 1990 A
4922278 Takeda et al. May 1990 A
4923054 Ohtani et al. May 1990 A
4924073 Chiba May 1990 A
4924800 Tanaka May 1990 A
4966094 Yamada Oct 1990 A
4982694 Moriyama Jan 1991 A
4984597 McConnell et al. Jan 1991 A
4985720 Masuda et al. Jan 1991 A
4987687 Sugimoto Jan 1991 A
4998021 Mimasaka Mar 1991 A
5002008 Ushijima et al. Mar 1991 A
5020200 Mimasaka et al. Jun 1991 A
5031474 Keppler et al. Jul 1991 A
5032217 Tanaka Jul 1991 A
5035200 Moriyama Jul 1991 A
5054332 Terauchi et al. Oct 1991 A
5061144 Akimoto et al. Oct 1991 A
5065178 Awazu et al. Nov 1991 A
5070813 Sakai et al. Dec 1991 A
5078832 Tanaka Jan 1992 A
5089305 Ushijima et al. Feb 1992 A
5125790 Foulke et al. Jun 1992 A
5127362 Iwatsu et al. Jul 1992 A
5133635 Malin et al. Jul 1992 A
5151871 Matsumura et al. Sep 1992 A
5169408 Biggerstaff Dec 1992 A
5174855 Tanaka Dec 1992 A
5180431 Sugimoto et al. Jan 1993 A
5197846 Uno et al. Mar 1993 A
5201653 Hasegawa et al. Apr 1993 A
5209180 Shoda et al. May 1993 A
5234499 Sasaki et al. Aug 1993 A
5240556 Ishikawa et al. Aug 1993 A
5250114 Konishi et al. Oct 1993 A
5252137 Tateyama et al. Oct 1993 A
5254367 Masumura et al. Oct 1993 A
D341428 Akimoto et al. Nov 1993 S
5275658 Kimura Jan 1994 A
5307109 Miyasaka et al. Apr 1994 A
5308210 Ohtani et al. May 1994 A
5312487 Akimoto et al. May 1994 A
5322079 Fukutomi et al. Jun 1994 A
5331987 Hayashi et al. Jul 1994 A
5339128 Tateyama et al. Aug 1994 A
5349412 Miyasaka Sep 1994 A
5359785 Fukutomi et al. Nov 1994 A
5374312 Hasebe et al. Dec 1994 A
5376216 Yoshioka et al. Dec 1994 A
5401316 Shiraishi et al. Mar 1995 A
5405443 Akimoto et al. Apr 1995 A
5411076 Matsunaga et al. May 1995 A
5415890 Kloiber et al. May 1995 A
5416047 Konishi et al. May 1995 A
5418382 Blackwood et al. May 1995 A
5427820 Biche et al. Jun 1995 A
5430271 Orgami et al. Jul 1995 A
5431700 Sloan Jul 1995 A
5436848 Nishida et al. Jul 1995 A
5438209 Yamamoto et al. Aug 1995 A
5443348 Biche et al. Aug 1995 A
5485644 Shinbara et al. Jan 1996 A
5501870 Shiraishi et al. Mar 1996 A
5505781 Omori et al. Apr 1996 A
5514215 Takamatsu et al. May 1996 A
5514852 Takamori et al. May 1996 A
5520501 Kouno et al. May 1996 A
5520744 Fujikawa et al. May 1996 A
5522215 Matsunaga et al. Jun 1996 A
5536918 Ohkase et al. Jul 1996 A
5553994 Biche et al. Sep 1996 A
5555234 Sugimoto Sep 1996 A
5571325 Ueyama et al. Nov 1996 A
5580607 Takekuma et al. Dec 1996 A
5599394 Yabe et al. Feb 1997 A
5601645 Nonomura et al. Feb 1997 A
5608943 Konishi et al. Mar 1997 A
5611685 Nakajima et al. Mar 1997 A
5618348 Tran Apr 1997 A
5620560 Akimoto et al. Apr 1997 A
5625433 Inada et al. Apr 1997 A
5626913 Tomoeda et al. May 1997 A
5633040 Toshima et al. May 1997 A
5634377 Kimura et al. Jun 1997 A
5636964 Somekh et al. Jun 1997 A
5638687 Mizohata et al. Jun 1997 A
5639301 Sasada et al. Jun 1997 A
5651823 Parodi et al. Jul 1997 A
5658615 Hasebe et al. Aug 1997 A
5665200 Fujimoto et al. Sep 1997 A
5668733 Morimoto et al. Sep 1997 A
5670210 Mandal et al. Sep 1997 A
5672205 Fujimoto et al. Sep 1997 A
5674410 Nakajima et al. Oct 1997 A
5677000 Yoshioka et al. Oct 1997 A
5681614 Omori et al. Oct 1997 A
5687085 Morimoto et al. Nov 1997 A
5688322 Motoda et al. Nov 1997 A
5688324 Umaba Nov 1997 A
5688326 Kandori et al. Nov 1997 A
5689749 Tanaka et al. Nov 1997 A
5695817 Tateyama et al. Dec 1997 A
5700046 Van Doren et al. Dec 1997 A
5701627 Matsumura et al. Dec 1997 A
5702228 Tamai et al. Dec 1997 A
5704493 Fujikawa et al. Jan 1998 A
5711809 Kimura et al. Jan 1998 A
5715173 Nakajima et al. Feb 1998 A
5730574 Adachi et al. Mar 1998 A
5733024 Slocum et al. Mar 1998 A
5762684 Hayashi et al. Jun 1998 A
5762708 Motoda et al. Jun 1998 A
5762709 Sugimoto et al. Jun 1998 A
5762745 Hirose Jun 1998 A
5763892 Kizaki et al. Jun 1998 A
5765072 Ohtani et al. Jun 1998 A
5765444 Bacchi et al. Jun 1998 A
5766671 Matsui Jun 1998 A
5766824 Batchelder et al. Jun 1998 A
5772764 Akimoto Jun 1998 A
5779796 Tomoeda et al. Jul 1998 A
5788453 Donde et al. Aug 1998 A
5788742 Sugimoto et al. Aug 1998 A
5788773 Okuda et al. Aug 1998 A
5788868 Itaba et al. Aug 1998 A
5792259 Yoshioka et al. Aug 1998 A
5803932 Akimoto et al. Sep 1998 A
5803970 Tateyama et al. Sep 1998 A
5817156 Tateyama et al. Oct 1998 A
5823736 Matsumura Oct 1998 A
5826130 Tanaka et al. Oct 1998 A
5834737 Hirose et al. Nov 1998 A
5838121 Fairbairn et al. Nov 1998 A
5839011 Urasaki et al. Nov 1998 A
5841515 Ohtani Nov 1998 A
5843527 Sanada Dec 1998 A
5845170 Ogata Dec 1998 A
5846327 Kawamoto et al. Dec 1998 A
5853483 Morita et al. Dec 1998 A
5853812 Kawasaki et al. Dec 1998 A
5854953 Semba Dec 1998 A
5866307 Kiba et al. Feb 1999 A
5867389 Hamada et al. Feb 1999 A
5871584 Tateyama et al. Feb 1999 A
5875804 Tanaka et al. Mar 1999 A
5881750 Yoshitani Mar 1999 A
5887214 Kuriu et al. Mar 1999 A
5888344 Ogami et al. Mar 1999 A
5898588 Morimoto Apr 1999 A
5904169 Yoshitani May 1999 A
5906469 Oka et al. May 1999 A
5906860 Motoda et al. May 1999 A
5908657 Kimura et al. Jun 1999 A
5912054 Tateyama Jun 1999 A
5915396 Kinose Jun 1999 A
5916366 Ueyama et al. Jun 1999 A
5919520 Tateyama et al. Jul 1999 A
5919529 Matsumura et al. Jul 1999 A
5921257 Weber et al. Jul 1999 A
5923915 Akimoto et al. Jul 1999 A
5927077 Hisai et al. Jul 1999 A
5927303 Miya et al. Jul 1999 A
5932380 Yaegashi et al. Aug 1999 A
5935768 Biche et al. Aug 1999 A
5938847 Akimoto et al. Aug 1999 A
5938902 Nguyen et al. Aug 1999 A
5939130 Shiraishi et al. Aug 1999 A
5941083 Sada et al. Aug 1999 A
5942013 Akimoto Aug 1999 A
5942035 Hasebe et al. Aug 1999 A
5943726 Eitoku et al. Aug 1999 A
5943880 Tateyama et al. Aug 1999 A
5945161 Hashimoto et al. Aug 1999 A
5960225 Fujimoto Sep 1999 A
5961269 Kroeker Oct 1999 A
5962070 Mitsuhashi et al. Oct 1999 A
5963753 Ohtani et al. Oct 1999 A
5965200 Tateyama et al. Oct 1999 A
5968268 Kitano et al. Oct 1999 A
5968691 Yoshioka et al. Oct 1999 A
5970717 Tateyama et al. Oct 1999 A
5972110 Akimoto Oct 1999 A
5974682 Akimoto et al. Nov 1999 A
5976256 Kawano Nov 1999 A
5976620 Sanada et al. Nov 1999 A
5984540 Mimasaka et al. Nov 1999 A
5985357 Sanada Nov 1999 A
5988971 Fossey et al. Nov 1999 A
5989342 Ikeda et al. Nov 1999 A
5989346 Hiroki Nov 1999 A
5989632 Sanada et al. Nov 1999 A
5992431 Weber et al. Nov 1999 A
5993518 Tateyama Nov 1999 A
6000862 Okuda Dec 1999 A
6002108 Yoshioka Dec 1999 A
6004047 Akimoto et al. Dec 1999 A
6007629 Ohtani et al. Dec 1999 A
6010570 Motoda et al. Jan 2000 A
6012192 Sawada et al. Jan 2000 A
6012858 Konishi et al. Jan 2000 A
6013317 Motoda et al. Jan 2000 A
6015066 Kimura et al. Jan 2000 A
6017663 Yaegashi et al. Jan 2000 A
6018616 Schaper Jan 2000 A
6021790 Yoshitani et al. Feb 2000 A
6033475 Hasebe et al. Mar 2000 A
6040120 Matsushita et al. Mar 2000 A
6048400 Ohtani Apr 2000 A
6051101 Ohtani et al. Apr 2000 A
6051349 Yoshioka Apr 2000 A
6053058 Hayashi et al. Apr 2000 A
6053977 Konishi Apr 2000 A
6056998 Fujimoto May 2000 A
6059880 Kitano et al. May 2000 A
6060697 Morita et al. May 2000 A
6062240 Sada et al. May 2000 A
6062288 Tateyama May 2000 A
6062852 Kawamoto et al. May 2000 A
6063190 Hasebe et al. May 2000 A
6063439 Semba et al. May 2000 A
6067727 Muraoka May 2000 A
6074515 Iseki et al. Jun 2000 A
6076652 Head et al. Jun 2000 A
6076979 Mimasaka et al. Jun 2000 A
6077321 Adachi et al. Jun 2000 A
6087632 Mizosaki et al. Jul 2000 A
6089762 Mimasaka et al. Jul 2000 A
6092971 Balg et al. Jul 2000 A
6097005 Akimoto Aug 2000 A
6099643 Ohtani et al. Aug 2000 A
6104002 Hirose et al. Aug 2000 A
6108932 Chai Aug 2000 A
6109677 Anthony Aug 2000 A
6113695 Fujimoto Sep 2000 A
6116848 Thomas et al. Sep 2000 A
6117486 Yoshihara Sep 2000 A
6120834 Terauchi Sep 2000 A
6124211 Butterbaugh et al. Sep 2000 A
6126725 Tateyama Oct 2000 A
6128829 Wolke et al. Oct 2000 A
6129546 Sada Oct 2000 A
6132165 Carducci Oct 2000 A
6138695 Shibao et al. Oct 2000 A
6142722 Genov et al. Nov 2000 A
6143087 Walter Nov 2000 A
6143478 Toshima et al. Nov 2000 A
6147329 Okamura et al. Nov 2000 A
6149727 Yoshioka et al. Nov 2000 A
6155275 Shinbara Dec 2000 A
6156125 Harada Dec 2000 A
6158446 Mohindra Dec 2000 A
6159291 Morita et al. Dec 2000 A
6159541 Sakai et al. Dec 2000 A
6165273 Fayefield Dec 2000 A
6167322 Holbrooks Dec 2000 A
6168665 Sakai et al. Jan 2001 B1
6169274 Kulp Jan 2001 B1
6174371 Iseki et al. Jan 2001 B1
6176667 Fairbairn et al. Jan 2001 B1
6177133 Gurer et al. Jan 2001 B1
6179982 Chiu et al. Jan 2001 B1
6183147 Kimura et al. Feb 2001 B1
6185370 Sekimoto et al. Feb 2001 B1
6190063 Akimoto Feb 2001 B1
6191394 Shirakawa et al. Feb 2001 B1
6193783 Sakamoto et al. Feb 2001 B1
6199568 Arai et al. Mar 2001 B1
6200633 Kitano et al. Mar 2001 B1
6202653 Harada et al. Mar 2001 B1
6203969 Ueda Mar 2001 B1
6207231 Tateyama Mar 2001 B1
6210481 Sakai et al. Apr 2001 B1
6216475 Sada et al. Apr 2001 B1
6217657 Kiba et al. Apr 2001 B1
6221787 Ogata Apr 2001 B1
6222161 Shirakawa et al. Apr 2001 B1
6227786 Tateyama May 2001 B1
6228171 Shirakawa et al. May 2001 B1
6228561 Hasebe May 2001 B1
6229116 Shirakawa et al. May 2001 B1
6235641 Christenson May 2001 B1
6238107 Inada May 2001 B1
6238109 Minami May 2001 B1
6238735 Mandal et al. May 2001 B1
6238848 Konishi et al. May 2001 B1
6241402 Sakamoto et al. Jun 2001 B1
6241403 Sakamoto et al. Jun 2001 B1
6246030 Matsuyama Jun 2001 B1
6248398 Talieh et al. Jun 2001 B1
6251195 Wagoner Jun 2001 B1
6253118 Koyama Jun 2001 B1
6254936 Gurer et al. Jul 2001 B1
6256555 Bacchi et al. Jul 2001 B1
6257778 Toshima et al. Jul 2001 B1
6258167 Kawano et al. Jul 2001 B1
6260562 Morinishi et al. Jul 2001 B1
6261007 Takamori et al. Jul 2001 B1
6261365 Matsuyama et al. Jul 2001 B1
6261744 Yoshioka Jul 2001 B1
6266125 Fukuda et al. Jul 2001 B1
6267022 Suzuki Jul 2001 B1
6267516 Nagamine et al. Jul 2001 B1
6268013 Akimoto et al. Jul 2001 B1
6273104 Shinbara et al. Aug 2001 B1
6275748 Bacchi et al. Aug 2001 B1
6278089 Young et al. Aug 2001 B1
6281145 Deguchi et al. Aug 2001 B1
6283701 Sundar et al. Sep 2001 B1
6284043 Takekuma Sep 2001 B1
6284616 Smith Sep 2001 B1
6287390 Sakai et al. Sep 2001 B2
6291800 Shirakawa et al. Sep 2001 B1
6292250 Matsuyama Sep 2001 B1
6293713 Ueda Sep 2001 B1
6299938 Tateyama Oct 2001 B1
6300043 Konishi et al. Oct 2001 B1
6302960 Baroudi et al. Oct 2001 B1
6306455 Takamori et al. Oct 2001 B1
6312177 Nureki Nov 2001 B1
6313441 Schaper et al. Nov 2001 B1
6313903 Ogata Nov 2001 B1
6318948 Ueda et al. Nov 2001 B1
6318951 Schmidt et al. Nov 2001 B1
6319317 Takamori Nov 2001 B1
6322119 Schmidt et al. Nov 2001 B1
6327793 Gurer et al. Dec 2001 B1
6332723 Matsuyama et al. Dec 2001 B1
6336204 Jevtic Jan 2002 B1
6340643 Ueda Jan 2002 B2
6348101 Walter Feb 2002 B1
6352083 Araki et al. Mar 2002 B1
6354311 Kimura et al. Mar 2002 B1
6359264 Schaper et al. Mar 2002 B1
6361600 Tateyama et al. Mar 2002 B1
6364547 Matsuyama et al. Apr 2002 B1
6368776 Harada et al. Apr 2002 B1
6371667 Kitano et al. Apr 2002 B1
6371713 Nishimura et al. Apr 2002 B1
6379056 Ueda et al. Apr 2002 B1
6380518 Shirakawa et al. Apr 2002 B2
6382849 Sakamoto et al. May 2002 B1
6383948 Kitano et al. May 2002 B1
6384894 Matsuyama et al. May 2002 B2
6391111 Fujimoto et al. May 2002 B1
6398429 Toshima et al. Jun 2002 B1
6401353 Kimura Jun 2002 B2
6402399 Sakamoto et al. Jun 2002 B2
6402400 Ueda et al. Jun 2002 B1
6402508 Harada et al. Jun 2002 B2
6402509 Ookura et al. Jun 2002 B1
6402844 Harada et al. Jun 2002 B1
6403924 Hayashi Jun 2002 B1
6410194 Yoshihara Jun 2002 B1
6416583 Kitano et al. Jul 2002 B1
6418356 Oh Jul 2002 B1
6419408 Inada Jul 2002 B1
6431769 Fukuda et al. Aug 2002 B1
6432199 Takekuma Aug 2002 B1
6438449 Kawamatsu et al. Aug 2002 B2
6438460 Bacchi et al. Aug 2002 B1
6440218 Sanada et al. Aug 2002 B1
6443641 Takamori et al. Sep 2002 B2
6444029 Kimura et al. Sep 2002 B1
6446646 Izumi Sep 2002 B1
6447608 Sakai et al. Sep 2002 B1
6450803 Shirakawa et al. Sep 2002 B2
6450805 Oda et al. Sep 2002 B1
6451515 Takamori et al. Sep 2002 B2
6453214 Bacchi et al. Sep 2002 B1
6454332 Govzman et al. Sep 2002 B1
6458208 Anai et al. Oct 2002 B1
6458607 Anai et al. Oct 2002 B1
6461438 Ookura et al. Oct 2002 B1
6464789 Akimoto et al. Oct 2002 B1
6465765 Katayama et al. Oct 2002 B2
6468586 Gurer et al. Oct 2002 B1
6471421 Kitamura Oct 2002 B2
6471422 Ueda et al. Oct 2002 B1
6474986 Oda et al. Nov 2002 B2
6475279 Akimoto et al. Nov 2002 B1
6485782 Takamori Nov 2002 B2
6485893 Matsuyama Nov 2002 B1
6491452 Konishi et al. Dec 2002 B2
6491491 Tsuneda et al. Dec 2002 B1
6496245 Kosugi et al. Dec 2002 B2
6501191 Tanaka et al. Dec 2002 B2
6503003 Hasebe et al. Jan 2003 B2
6507770 Tateyama et al. Jan 2003 B2
6511315 Hashimoto Jan 2003 B2
6514073 Toshima et al. Feb 2003 B1
6514343 Motoda et al. Feb 2003 B1
6514344 Kitano et al. Feb 2003 B2
6514570 Matsuyama et al. Feb 2003 B1
6518199 Kitano et al. Feb 2003 B2
6526329 Tateyama et al. Feb 2003 B2
6527860 Yoshihara et al. Mar 2003 B1
6527861 Takekuma Mar 2003 B2
6533531 Nguyen et al. Mar 2003 B1
6533864 Matsuyama et al. Mar 2003 B1
6534750 Tanoue et al. Mar 2003 B1
6536964 Kitano et al. Mar 2003 B1
6537373 Kitano et al. Mar 2003 B1
6539956 Wolke et al. Apr 2003 B1
6541170 Fukuda et al. Apr 2003 B2
6541376 Inada et al. Apr 2003 B2
6550988 Sugimoto et al. Apr 2003 B2
6551400 Hasbe et al. Apr 2003 B2
6551448 Kuga et al. Apr 2003 B2
6556893 Kumar et al. Apr 2003 B2
6558053 Shigemori et al. May 2003 B2
6568847 Nishijima et al. May 2003 B2
6571147 Kashihara May 2003 B1
6573031 Shinya et al. Jun 2003 B2
6575177 Brown et al. Jun 2003 B1
6578772 Fujimoto et al. Jun 2003 B2
6579370 Kimura et al. Jun 2003 B2
6579730 Kimura et al. Jun 2003 B2
6579733 Rangarajan et al. Jun 2003 B1
6589339 Takeshita et al. Jul 2003 B2
6598805 Sakai et al. Jul 2003 B2
6599366 Kitano et al. Jul 2003 B1
6602382 Matsuyama et al. Aug 2003 B1
6605153 Kitano et al. Aug 2003 B2
6614201 Saino et al. Sep 2003 B2
6616394 Park Sep 2003 B1
6616760 Kitano et al. Sep 2003 B2
6616762 Inada et al. Sep 2003 B2
6617095 Kitano et al. Sep 2003 B2
6618645 Bacchi et al. Sep 2003 B2
6620244 Yoshihara Sep 2003 B2
6620245 Ishida et al. Sep 2003 B2
6620251 Kitano et al. Sep 2003 B2
6627263 Kitano et al. Sep 2003 B2
6633022 Kitano et al. Oct 2003 B2
6634806 Toshima et al. Oct 2003 B2
6635113 Takamori et al. Oct 2003 B2
6644965 Ookura et al. Nov 2003 B2
6645880 Shigemori et al. Nov 2003 B1
6654668 Harada et al. Nov 2003 B1
6656277 Sanada et al. Dec 2003 B2
6656281 Ueda et al. Dec 2003 B1
6659661 Deguchi et al. Dec 2003 B2
6662466 Gurer et al. Dec 2003 B2
6669782 Thakur Dec 2003 B1
6669808 Adachi et al. Dec 2003 B2
6672779 Ueda et al. Jan 2004 B2
6673151 Yoshihara et al. Jan 2004 B2
6676757 Kitano et al. Jan 2004 B2
6678572 Oh Jan 2004 B1
6678581 Hung et al. Jan 2004 B2
6683006 Konishi et al. Jan 2004 B2
6685422 Sundar et al. Feb 2004 B2
6686571 Ookura et al. Feb 2004 B2
6689215 Nguyen Feb 2004 B2
6691430 Saito et al. Feb 2004 B2
6692165 Tanaka et al. Feb 2004 B2
6692219 Coomer et al. Feb 2004 B2
6694218 Oh Feb 2004 B2
6694224 Ramanan Feb 2004 B2
6695922 Kitano et al. Feb 2004 B2
6703316 Inoue et al. Mar 2004 B2
6706321 Nishiya et al. Mar 2004 B2
6709174 Yamamoto et al. Mar 2004 B2
6709523 Toshima et al. Mar 2004 B1
6712579 Fujii et al. Mar 2004 B2
6713120 Fukuda et al. Mar 2004 B2
6713239 Toshima et al. Mar 2004 B2
6715943 Nagamine Apr 2004 B2
6716478 Kitano et al. Apr 2004 B2
6726771 Ueda Apr 2004 B2
6729462 Babbs et al. May 2004 B2
6730599 Inada et al. May 2004 B2
6736556 Kanagawa et al. May 2004 B2
6744020 Shirakawa et al. Jun 2004 B2
6749351 Sanada et al. Jun 2004 B2
6749688 Tateyama et al. Jun 2004 B2
6752543 Fukutomi et al. Jun 2004 B2
6752544 Sanada et al. Jun 2004 B2
6752585 Reimer et al. Jun 2004 B2
6752872 Inada et al. Jun 2004 B2
6753508 Shirakawa Jun 2004 B2
6761125 Kitano et al. Jul 2004 B2
6768930 Oh Jul 2004 B2
6770424 Mandal et al. Aug 2004 B2
6773510 Kitano et al. Aug 2004 B2
6775456 Matsuura Aug 2004 B2
6780461 Gurer et al. Aug 2004 B2
6780795 Suzuki et al. Aug 2004 B2
6790283 Hayashi et al. Sep 2004 B2
6790286 Nishimura et al. Sep 2004 B2
6790287 Shiga et al. Sep 2004 B2
6790291 Kimura Sep 2004 B2
6793769 Kajino et al. Sep 2004 B2
6796054 Minami et al. Sep 2004 B2
6805769 Okuda et al. Oct 2004 B2
6807452 Mukuta et al. Oct 2004 B2
6807455 Yoshida et al. Oct 2004 B2
6808566 Kitano et al. Oct 2004 B2
6809036 Liu Oct 2004 B2
6811613 Kitano et al. Nov 2004 B2
6811962 Yoshihara et al. Nov 2004 B2
6814507 Inagaki Nov 2004 B2
6814809 Matsushita et al. Nov 2004 B2
6815647 Tanoue et al. Nov 2004 B2
6817790 Toshima et al. Nov 2004 B2
6821550 Deguchi et al. Nov 2004 B2
6822413 Simondet et al. Nov 2004 B2
6823880 Sakashita et al. Nov 2004 B2
6824616 Kitano et al. Nov 2004 B2
6826214 Nomoto Nov 2004 B2
6827782 Goto et al. Dec 2004 B2
6830774 Hayashi et al. Dec 2004 B2
6832863 Sugimoto et al. Dec 2004 B2
6834210 Tateyama et al. Dec 2004 B2
6837631 Nakano et al. Jan 2005 B2
6837632 Koyama et al. Jan 2005 B2
6841031 Iwata et al. Jan 2005 B2
6841342 Nishi et al. Jan 2005 B2
6843259 Nagamine Jan 2005 B2
6846149 Savage et al. Jan 2005 B2
6848625 Takekuma et al. Feb 2005 B2
6851872 Okubo et al. Feb 2005 B1
6852194 Matsushita et al. Feb 2005 B2
6860945 Kobayashi et al. Mar 2005 B2
6868888 Adachi Mar 2005 B2
6869234 Sanada et al. Mar 2005 B2
6869640 Yoshihara et al. Mar 2005 B2
6872256 Kitano et al. Mar 2005 B2
6874513 Yamagata et al. Apr 2005 B2
6878216 Fujishima Apr 2005 B2
6878303 Okamoto Apr 2005 B2
6878401 Nishijima et al. Apr 2005 B2
6878501 Hatakeyama et al. Apr 2005 B2
6884294 Minami et al. Apr 2005 B2
6885467 Du-Nour et al. Apr 2005 B2
6889105 Mukuta et al. May 2005 B2
6893171 Fukutomi et al. May 2005 B2
6893513 Michaluk et al. May 2005 B2
6893805 Iseki et al. May 2005 B2
6896466 Nishimura et al. May 2005 B2
6991710 Harris et al. Jan 2006 B2
7053386 Holtam et al. May 2006 B1
7100954 Klein et al. Sep 2006 B2
7125059 Miyamoto Oct 2006 B2
7279067 Yoshida et al. Oct 2007 B2
20010024691 Kimura et al. Sep 2001 A1
20020098072 Sundar Jul 2002 A1
20030010449 Gramarossa et al. Jan 2003 A1
20030044261 Bonora et al. Mar 2003 A1
20030052497 Holbrooks Mar 2003 A1
20030052498 Holbrooks Mar 2003 A1
20030151268 Holbrooks Aug 2003 A1
20040020601 Zhao et al. Feb 2004 A1
20040091349 Tabrizie et al. May 2004 A1
20050095088 Kurita et al. May 2005 A1
20060045719 Bacchi et al. Mar 2006 A1
20060134330 Ishikawa et al. Jun 2006 A1
20060165408 Akimoto et al. Jul 2006 A1
20060182536 Rice et al. Aug 2006 A1
Foreign Referenced Citations (9)
Number Date Country
3790259 Nov 1986 DE
1 114 882 Jul 2001 EP
51004311 Jan 1976 JP
07235473 Sep 1995 JP
10006757 Jan 1998 JP
11279797 Oct 1999 JP
501169 Sep 2002 TW
516072 Jan 2003 TW
WO 0012958 Mar 2000 WO
Related Publications (1)
Number Date Country
20070144439 A1 Jun 2007 US
Provisional Applications (2)
Number Date Country
60673848 Apr 2005 US
60673848 Dec 2004 US
Continuation in Parts (1)
Number Date Country
Parent 11315984 Dec 2005 US
Child 11530297 US