Circuitry for electrical redundancy in bonded structures

Information

  • Patent Grant
  • 11721653
  • Patent Number
    11,721,653
  • Date Filed
    Thursday, December 17, 2020
    3 years ago
  • Date Issued
    Tuesday, August 8, 2023
    9 months ago
Abstract
A bonded structure is disclosed. The bonded structure can include a first element that has a first plurality of contact pads. The first plurality of contact pads includes a first contact pad and a second redundant contact pad. The bonded structure can also include a second element directly bonded to the first element without an intervening adhesive. The second element has a second plurality of contact pads. The second plurality of contact pads includes a third contact pad and a fourth redundant contact pad. The first contact pad is configured to connect to the third contact pad. The second contact pad is configured to connect to the fourth contact pad. The bonded structure can include circuitry that has a first state in which an electrical signal is transferred to the first contact pad and a second state in which the electrical signal is transferred to the second contact pad.
Description
BACKGROUND
Field

The field relates to circuitry for electrical redundancy in bonded structures.


Description of the Related Art

Multiple semiconductor elements (such as integrated device dies) may be stacked on top of one another in various applications, such as high bandwidth memory (HBM) devices or other devices that utilize vertical integration. The stacked elements can electrically communicate with one another through arrays of contact pads. It can be important to ensure that the electrical connections between contact pads on two stacked elements are reliable.





BRIEF DESCRIPTION OF THE DRAWINGS

Specific implementations will now be described with reference to the following drawings, which are provided by way of example, and not limitation.



FIG. 1A is a schematic top plan view of a bonded structure showing locations of voids.



FIG. 1B is a schematic cross sectional view of the bonded structure of FIG. 1A.



FIG. 1C is a schematic cross sectional view of a bonded structure according to an embodiment.



FIG. 2A illustrates switching circuitry comprising a bi-directional circuit according to an embodiment.



FIG. 2B illustrates switching circuitry comprising a bi-directional circuit according to another embodiment.



FIG. 2C illustrates switching circuitry comprising a demultiplexer (DEMUX) according to an embodiment.



FIG. 2D illustrates switching circuitry comprising a multiplexer (MUX) according to an embodiment.



FIG. 3 illustrates switching circuitry comprising an AND gate according to an embodiment.



FIG. 4 illustrates switching circuitry comprising a plurality of multiplexers (MUX) according to an embodiment.





DETAILED DESCRIPTION

Two or more semiconductor elements (such as integrated device dies) may be stacked on or bonded to one another to form a bonded structure. Conductive contact pads of one element may be electrically connected to corresponding conductive contact pads of another element. Any suitable number of elements can be stacked in the bonded structure. In some embodiments, the elements are directly bonded to one another without an adhesive. In other embodiments, the elements may be bonded with a conductive adhesive, such as solder, etc.


In various embodiments, a dielectric field region of a first element (e.g., a first semiconductor device die with active circuitry) can be directly bonded (e.g., using dielectric-to-dielectric bonding techniques) to a corresponding dielectric field region of a second element (e.g., a second semiconductor device die with active circuitry) without an adhesive. For example, dielectric-to-dielectric bonds may be formed without an adhesive using the direct bonding techniques disclosed at least in U.S. Pat. Nos. 9,391,143 and 10,434,749, the entire contents of each of which are incorporated by reference herein in their entirety and for all purposes. Dielectrics that can be treated and activated for direct bonding include, for example, inorganic dielectrics, particularly those including silicon, such as silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon carbonitride (SiCN), etc.


In various embodiments, hybrid direct bonds can be formed without an intervening adhesive. For example, dielectric bonding surfaces can be polished to a high degree of smoothness. The bonding surfaces can be cleaned and exposed to a plasma and/or etchants to activate the surfaces. In some embodiments, the surfaces can be terminated with a species after activation or during activation (e.g., during the plasma and/or etch processes). Without being limited by theory, in some embodiments, the activation process can be performed to break chemical bonds at the bonding surface, and the termination process can provide additional chemical species at the bonding surface that improves the bonding energy during direct bonding. In some embodiments, the activation and termination are provided in the same step, e.g., a plasma or wet etchant to activate and terminate the surfaces. In other embodiments, the bonding surface can be terminated in a separate treatment to provide the additional species for direct bonding. In various embodiments, the terminating species can comprise nitrogen. Further, in some embodiments, the bonding surfaces can be exposed to fluorine. For example, there may be one or multiple fluorine peaks near layer and/or bonding interfaces. Thus, in the directly bonded structures, the bonding interface between two dielectric materials can comprise a very smooth interface with higher nitrogen content and/or fluorine peaks at the bonding interface. Additional examples of activation and/or termination treatments may be found throughout U.S. Pat. Nos. 9,564,414; 9,391,143; and 10,434,749, the entire contents of each of which are incorporated by reference herein in their entirety and for all purposes.


In various embodiments, conductive contact pads of the first element can be directly bonded to corresponding conductive contact pads of the second element. For example, a hybrid bonding technique can be used to provide conductor-to-conductor direct bonds along a bond interface that includes covalently direct bonded dielectric-to-dielectric surfaces, prepared as described above. In various embodiments, the conductor-to-conductor (e.g., contact pad to contact pad) direct bonds and the dielectric-to-dielectric bonds can be formed using the direct hybrid bonding techniques disclosed at least in U.S. Pat. Nos. 9,716,033 and 9,852,988, the entire contents of each of which are incorporated by reference herein in their entirety and for all purposes.


For example, dielectric bonding surfaces can be prepared and directly bonded to one another without an intervening adhesive. Conductive contact pads (which may be surrounded by nonconductive dielectric field regions) may also directly bond to one another without an intervening adhesive. In some embodiments, the respective contact pads can be recessed below the dielectric field regions, for example, recessed by less than 20 nm, less than 15 nm, or less than 10 nm, for example, recessed in a range of 2 nm to 20 nm, or in a range of 4 nm to 10 nm. The dielectric field regions can be initially directly bonded to one another without an adhesive and without external pressure at room temperature in some embodiments and, subsequently, the bonded structure can be annealed. Upon annealing, the contact pads can expand and contact one another to form a metal-to-metal direct bond. Beneficially, the use of the hybrid bonding techniques known by the trade name Direct Bond Interconnect, or DBI®, can enable high density of pads connected across the direct bond interface (e.g., small or fine pitches for regular arrays) and/or high density of pads connected across the direct bond interface (e.g., small or fine pitches for regular arrays). In some embodiments, the pitch of the bonding pads may be less 40 microns or less than 10 microns or even less than 2 microns. For some applications the ratio of the pitch of the bonding pads to one of the dimensions of the bonding pad is less than 5, or less than 3 and sometimes desirably less than 2. In various embodiments, the contact pads can comprise copper, although other metals may be suitable.


In various embodiments, the contact pads can be formed in respective first and second arrays of pads on the first and second elements. If any debris or surface contaminant is present at the surface of the first or second elements, voids may be created at the bond interface, or debris may intervene between opposing contact pads. In addition, reactant byproducts generated during bonding and annealing, e.g. hydrogen and water vapor, may also form voids at the bond interface. These voids may effectively inhibit the joining of particular contact pads in the vicinity, creating openings or other failures in the bond. For example, any void larger than the pad diameter (or pitch) can potentially create an opening and direct bond failure.



FIG. 1A is a schematic top plan view of a bonded structure 1 showing locations of voids 10. FIG. 1B is a schematic cross sectional view of the bonded structure 1. The bonded structure 1 has voids 10 between a first element 12 and a second element 14. The voids 10 can intervene between opposing contact pads 16, 18. The voids 10 can cause faulty interconnects.



FIG. 1C is a schematic cross sectional view of a bonded structure 2 according to an embodiment. The bonded structure 2 can comprise a first element 22 (e.g., a first semiconductor device die) and a second element 24 (e.g., a second semiconductor device die) stacked on and bonded to the first element 22 along a bonding interface 26. Corresponding dielectric field regions (e.g., a first dielectric field region 28 and a second dielectric field region 30) and corresponding contact pads (e.g., first contact pads 16 and second contact pads 18) may be directly bonded without an intervening adhesive. The bonded structure 2 can include one or more trace(s) 32 can provide a redundant electrical connection. Thus, in the event of a void or debris (fault 10) the traces 32 ensure the desired connection between the elements 22, 24. Although not shown, it will be understood that the contact pads 16, 18 each connect internally to circuitry of their respective elements 22, 24, and that the traces ensure redundant connections of multiple pads to such internal circuitry. The bonded structure 2 can include switching circuitry that is connected to the trace(s) 32.


Beneficially, various embodiments disclosed herein can provide circuitry (e.g., switching circuitry) for electrical redundancy such that if a first electrical connection between first and second elements fails, the failed electrical connection can be rerouted or switched through a redundant electrical connection between the first and second elements. The disclosed embodiments can accordingly improve device yield by ensuring that electrical connectivity between stacked dies remains even when one or more interconnection between the elements has failed, e.g., if a void or debris (fault 10) is disposed between contact pads of the elements.


Having the redundant pads close to one another risks both or all of the redundant pads being affected by the same bonding fault (e.g., void or debris). Spacing redundant electrical interconnects relatively far apart makes it more likely that if one connection is compromised by a bonding fault, a redundant pad can ensure the electrical connection to the other die is not lost. Embodiments disclosed herein can beneficially enable the use of redundant pads at relatively large spacings without significant electrical losses. The use of active circuitry can enable high frequency operation at large distances with little electrical loss.


In various embodiments, the bonded structure can include first and second elements (e.g., first and second active integrated device dies) bonded to one another. Each element can comprise corresponding first and second pluralities of contact pads that can be electrically connected to one another to define a plurality of electrical connections along the bonding interface between the first and second elements. The connections can include contacts configured to carry relatively high frequency signals (e.g., greater than about 50 Mhz, greater than about 100 MHz, or greater than 200 MHz). In some embodiments, the connectivity of electrical connections between the first and second elements can be tested to identify one or more failed electrical connections (for example, connections in which a void, debris or other fault is disposed between opposing contact pads). In various embodiments, a boundary scan or built-in self-test (BIST) engine can be used to determine connectivity. For example, the BIST engine can run a boundary scan diagnostic which identifies the faulty connections and verifies that there are functional alternate locations that can work before connections are reassigned or rerouted. An e-fuse or other similar mechanisms, along with non-volatile look-up tables (LUTs) can logically reassign the electrical connections to redundant connections. The reassignment or switching of connections can feed both sides of the connections (at least two dies involved) so that the linkage and connection is completed.


Thus, based upon available connectivity, a subset of spare pins or contact pads to be reassigned to the broken interconnects can be identified. The one or more failed electrical connections can be rerouted or reassigned to one or more redundant electrical connections on both sides of the bonding interface (e.g., on both dies) to complete the desired connection between the first and second elements. The reroutings or reassignments can be implemented by switches or multiplexers (MUXes) to re-route the nets. A longer distance can be enabled by reconditioning the signal with flops, redrivers, retimers, inverters, repeaters or similar structures disposed along the route or at the circuitry (e.g., at switching circuitry). By leveraging circuitry such as a switch or a multiplexer, the electrical load of the alternate electrical paths should not consume power other than leakage power, which may occur from the repeaters (or other logic) used to carry the signal to the alternate location.


The electrical connectivity of the plurality of electrical connections can be re-tested to verify connectivity of the one or more redundant electrical connections. For example, in some embodiments, the boundary scan can be re-run with the reassignments to validate the self-repair of the failed electrical connection. The embodiments disclosed herein can be used in conjunction with directly bonded structures, as described above, but may also be used with other interconnections, such as copper pillars, solder balls, etc.


In FIG. 1C, the bonded structure 2 employs circuitry in each of first and second elements 22, 24 to selectively re-route signals to alternate or redundant contact pads. As explained above, the first and second elements 22, 24 can be bonded to one another along a bonding interface 26. In the illustrated embodiment, the first and second elements 22, 24 are directly bonded to one another without an adhesive. For example, as explained above, corresponding first and second dielectric field regions 28, 30 of the first and second elements 22, 24 may be directly bonded without an adhesive. Corresponding first and second pluralities of contact pads 16, 18 of the first and second elements 22, 24 may also be directly bonded to one another without an intervening adhesive. For example, the two bonded elements 22, 24 can be hybrid bonded such that respective dielectric regions 28, 30 are directly bonded without an adhesive and respective contact pads 16, 18 are directly bonded without an adhesive. In FIG. 1C, the first element 22 includes first and second contact pads 16a, 16b spaced apart from one another. In some embodiments, the first and second contact pads 16a, 16b can be spaced apart from one another by at least 10 microns, at least 50 microns, or at least 100 microns, for example, in a range of 10 microns to 5 mm, in a range of 10 mm to 1 mm, or in a range of 50 microns to 1500 microns. In some embodiments, the first and second contact pads 16a, 16b can be spaced apart by at least twice a pitch of the plurality of contact pads 16, or at least 5 times the pitch. The second element 18 includes third and fourth contact pads 18a, 18b spaced apart from one another. The third and fourth pads 18a, 18b can be spaced apart by a spacing similar to that of the first and second pads 16a, 16b. The first and third contact pads 16a, 18a can be disposed opposite one another and configured to electrically connect to one another. Similarly, the second and fourth contact pads 16b, 18b can be disposed opposite one another and configured to electrically connect to one another. In other embodiments, conductive adhesives may be used between corresponding contact pads along the bonding interface.



FIGS. 2A-2B illustrate examples of circuitry 3, 4 comprising respective bi-directional circuits, including tri-stated interconnections. Reference numerals used in conjunction with FIGS. 2A and 2B may represent the same or generally similar components as those of FIG. 1C, unless otherwise noted. First transmit and receive flip-flops 36, 38 can be provided in the first element 22. Second transmit and receive flip-flops 40, 42 can be provided in the second element 24. The first and second transmit flip-flops 36, 40 can comprise transmit transistors, and the first and second receive flip-flops 38, 42 can comprise receive transistors. The flip-flops 36, 38, 40, 42 can each comprise a single-transistor flip-flop and/or a multi-transistor flip-flop. An output line 37 of the first transmit flip-flop 36 can be electrically connected at least partially through the trace 32 to an input line 39 of the first receive flip-flop 38 and to the second contact pad 16b. The input line 39 of the first receive flip-flop 38 is connected to the first contact pad 16a. An output line 41 of the second transmit flip-flop 40 can be electrically connected at least partially through the trace 32 to an input line 43 of the second receive flip-flop 42 and to the fourth contact pad 18b. The input line 43 of the second receive flip-flop 42 is connected to the third contact pad 18a.


In various embodiments, if, for example, an electrical connection between the second and fourth contact pads 16b, 18b is faulty, the signal can be rerouted to a redundant electrical connection between the first and third contact pads 16a, 18a. Similarly, if an electrical connection between the first and third contact pads 16a, 18a is faulty, the signal can be rerouted to a redundant electrical connection between the second and fourth contact pads 16b, 18b. Therefore, the circuitry 3, 4 can have a first state in which an electrical signal is transferred between the first and third contact pads 16a, 18a and a second state in which the electrical signal is transferred between the second and fourth contact pads 16b, 18b.


The circuitry 3, 4 can comprise a first tri-state driver 44 and a second tri-state driver 46 that enable tri-stated interconnections. In some embodiments, the first tri-state driver 44 can be positioned between the first transmit flip-flop 36 and the trace 32 that connects the first and second contact pads 16a, 16b. In some embodiments, the second tri-state driver 46 can be positioned between the second transmit flip-flop 40 and the trace 32 that connects the third and fourth contact pads 18a, 18b. The circuitry 4 can comprise a third tri-state driver 48 and a fourth tri-state driver 50. As shown in FIG. 2B, in some embodiments, the third tri-state driver 48 can be positioned between the first receive flip-flop 36 and the trace 32 that connects the first and second contact pads 16a, 16b. In some embodiments, the fourth tri-state driver 50 can be positioned between the second receive flip-flop 42 and the trace 32 that connects the third and fourth contact pads 18a, 18b.



FIGS. 2C-2D illustrate another example of circuitry 5, 6 that includes a multiplexer (MUX) 54 or demultiplexer (DEMUX) 52 in at least one of the first and second elements. Reference numerals used in conjunction with FIGS. 2C and 2D may represent the same or generally similar components as those of FIGS. 1C-2B, unless otherwise noted. FIG. 2C shows a DEMUX device. In FIG. 2D, a MUX device can be used. The circuitry 5, 6 can include a first and second transistors 53, 55. The circuitry 5 can connect the second transistor 55 to an input of the DEMUX 52, and the circuitry 6 can connect the second transistor 55 to an output of the MUX 54. As shown in FIGS. 3A and 3B, the DEMUX 52 and/or MUX 54 in the second element 24 can be configured to select which of first and second electrical paths 56, 58 are to be used for a particular electrical signal. One or more repeaters 60 can also be provided along the first and second paths 56, 58. If it is determined that a first electrical connection between first and third pads 16a, 18a is faulty, for example, the DEMUX 52 and/or MUX 54 can switch to route the electrical signal along the second path 58 to the redundant connection between the second and fourth pads 16b, 18b.


In the illustrated embodiments, the DEMUX 52 and the MUX 54 are configured to select between the first and second electrical paths 56, 58, and a 1-to-2 demultiplexer and a 2-to-1 multiplexer are used as the DEMUX 52 and the MUX 54 respectively. However, in some embodiments, the circuitry 5, 6 can be configured to select between more than two electrical paths and implement other types of DEMUX and MUX.



FIG. 3 illustrates another example of circuitry 7 that includes an AND gate 62 in one or both of the first and second elements 22, 24. Reference numerals used in conjunction with FIG. 3 may represent the same or generally similar components as those of FIGS. 1C-2D, unless otherwise noted. Similar to the embodiment of FIGS. 2C and 2D, if both inputs to the AND gate 62 indicate a good connection, then the electrical signal can be transferred along the second path 58 to the second and fourth pads 16b, 18b (or the first path 56 to the first and third pads 16a, 18a). If one of the inputs to the AND gate 62 indicates a faulty connection (e.g., when the second and fourth pads 16b, 18b have a faulty connection), then the electrical signal can be transferred along the first path 56 to the first and third pads 16a, 18a. Although FIG. 3 illustrates an AND gate 62, it should be appreciated that other types of logic gates or active circuitry can be used to switch between electrical pathways to the bonding interface.



FIG. 4 illustrates another example of circuitry 8 that includes a hash topology including a plurality of multiplexers (MUX) 54a, 54b, 54c, 54d, 54e in the first and second elements 22, 24. Reference numerals used in conjunction with FIG. 4 may represent the same or generally similar components as those of FIGS. 1C-3, unless otherwise noted. The hash topology can enable the use of many-to-many interconnections, as opposed to one-to-one or many-to-one. As shown in FIG. 4, the first flip-flop 53 can electrically connect to a plurality of multiplexers 54a, 54b, 54c, which can receive the electrical signal from the first flip-flop 53. If the electrical connection along the bonding interface 26 is faulty for one of the plurality of MUX 54a, 54b, 54c, 54d, 54e, then another of the MUX 54a, 54b, 54c, 54d, 54e can transfer the electrical signal to a corresponding contact pad at the bonding interface 26. Also, one or a plurality of repeaters 60 can be provided along the signal lines so as to boost or repeat the signal along possibly large distances, which can maintain high signal quality. The use of the hash topology can beneficially increase redundancy for the bonded structure, since each MUX 54a, 54b, 54c, 54d, 54e can connect to multiple flip-flops 53, 55, 63, 65 on a particular element.


For example, for each contact pad, accordingly, there may be more than one redundant pad, which can share signal boosters, flops, retimers, drivers, inverters, etc. Thus, the many-to-many redundancy of FIG. 4 enables every pin or contact pad to potentially access multiple redundant pads. For example, if there are 100 original pads or pins and 10 redundant pads, then each of the 100 pins can access each of the 10 redundant pads. This example can correct for 10 faults, but any suitable number of redundancies can be provided. For example, in some embodiments, a ratio of a first plurality of operational contact pads to a second plurality of redundant contact pads can be in a range of 2:1 to 15:1, or in a range of 5:1 to 10:1.


The foregoing described actively connected redundant pads for signals. In some embodiments, each of the first and second elements 22, 24 can comprise corresponding ground and power pads. Passively connected redundant pads can be provided for the ground and power pads. The redundant ground and power pads can be passively connected, for example, without active circuitry along the interconnecting path. Passively connected redundant pads can be spaced apart from the corresponding faulty power or ground pad by at least 10 microns, or at least 50 microns. Passively connected redundant pads can be spaced apart from the corresponding faulty power or ground pad by at least twice a pitch (e.g., twice a minimum pitch) of the contact pads.


Beneficially, the embodiments disclosed herein can provide electrical redundancy for connections across a bonding interface 26 between two bonded elements 22, 24. The disclosed embodiments can be used for signals at relatively high frequencies, since active devices (such as repeaters, flops, redrivers, retimers, inverters, etc.) can be provided to recondition the signal, even across relatively large distances. Thus, even for significantly increased point-to-point distances (for example, distances in a range of 0.3 mm to 1 mm, or greater than 1 mm) for a given signal shunted to a redundant pad due to a faulty connection, signal strength at high frequencies can be maintained. In various embodiments, the disclosed embodiments can be operated at frequencies of 50 MHz or greater, 100 MHz or greater, or 200 MHz or greater.


The illustrated embodiments accordingly illustrates circuitry 3, 4, 5, 6, 7, 8 that reroutes signal(s) from one contact pad to another, redundant contact pad. Although the illustrated embodiments show surface pads with various or arbitrary types of internal, lateral connections, the concepts disclosed herein can be applicable to through substrate vias (TSVs), whether the TSVs are internal to the element or pass therethrough. If the TSVs pass completely through a particular element, then the circuitry can provide for switching between outer dies of a stack (e.g., with one or more intervening elements).


Thus, in one embodiment, a bonded structure is disclosed. The bonded structure can include a first element having a first plurality of contact pads on a first surface, the first plurality of contact pads including a first contact pad and a second redundant contact pad spaced apart from one another along the first surface. The bonded structure can include a second element bonded to the first element. The second element can have a second plurality of contact pads on a second surface. The second plurality of contact pads can include a third contact pad and a fourth redundant contact pad spaced apart from one another along the second surface. The first contact pad can be disposed opposite to and configured to connect to the third contact pad. The second contact pad can be disposed opposite to and configured to connect to the fourth contact pad. The bonded structure can include circuitry disposed in at least the first element, the circuitry having a first state in which an electrical signal is transferred to the first contact pad and a second state in which the electrical signal is transferred to the second contact pad.


In some embodiments, the bonded structure can include second circuitry in the second element, the second circuitry having a first state in which an electrical signal is transferred to the third contact pad and a second state in which the electrical signal is transferred to the fourth contact pad. The circuitry can form at least a portion of a bidirectional tri-stated interconnect structure. The circuitry can comprise a first receive flip-flop and a first transmit flip-flop, an output line of the first transmit flip-flop electrically connected to an input line of the first receive flip-flop and to the second contact pad, the input line of the first receive flip-flop connected to the first contact pad. The second circuitry can comprise a second receive flip-flop and a second transmit flip-flop, an output line of the second transmit flip-flop electrically connected to an input line of the second receive flip-flop and to the fourth contact pad, the input line of the first receive flip-flop connected to the third contact pad. The circuitry can comprise a multiplexer (MUX) or demultiplexer (DEMUX) electrically connected to a first flip-flop, and wherein the MUX or DEMUX is configured to selectively transfer the electrical signal to the first contact pad or the second contact pad. The bonded structure can comprise a second flip-flop in the second element, the MUX or DEMUX configured to transfer the electrical signal to the second flip-flop along a first path through the first contact pad or along a second path through the second contact pad. The circuitry can comprise an AND gate configured to selectively transfer the electrical signal to the first contact pad or the second contact pad. The circuitry can comprise a first plurality of multiplexers (MUX) electrically connected to a first flip-flop in the first element. A first MUX of the first plurality of MUX can be configured to transfer the electrical signal to the first contact pad. The first MUX can be configured to receive the electrical signal from the first flip-flop or a second electrical signal from a second flip-flop in the first element. A second MUX of the first plurality of MUX can be configured to receive the electrical signal from the first flip-flop and to transfer the electrical signal to the second contact pad. The second circuitry can comprise a second plurality of multiplexers (MUX) electrically connected to a second flip-flop. The first plurality of contact pads can be directly bonded to the second plurality of contact pads without an intervening adhesive. The bonded structure can comprise first and second dielectric field regions on the first and second elements, the first and second dielectric field regions directly bonded to one another without an adhesive. A void can be disposed between at least a portion of the first and third contact pads, and wherein the second and fourth contact pads can be physically and electrically contact one another. The first and third contact pads may not be directly electrically connected to one another. The circuitry can be in the second state. The first and second contact pads can be spaced apart by at least 50 microns. The first and second contact pads can be spaced apart by at least twice a pitch of the first plurality of contact pads.


In another embodiment, a method of providing electrical connectivity along a bonding interface of a bonded structure including a first element bonded to a second element is disclosed. The method can include testing electrical connectivity of a plurality of electrical connections between the first element and the second element to identify one or more failed electrical connections. The method can include rerouting the identified one or more failed electrical connections to one or more redundant electrical connections between the first and second elements.


The method can include re-testing the electrical connectivity of the plurality of electrical connections to verify connectivity of the one or more redundant electrical connections. The first element can be directly bonded to the second element without an intervening adhesive.


In another embodiment, a bonded structure is disclosed. The bonded structure can include a first element having a first plurality of operational contact pads and a second plurality of redundant contact pads, wherein the first plurality of operational contact pads includes more contact pads than the second plurality of redundant contact pads. The bonded structure can include a second element bonded to the first element. The second element can have a third plurality of operational contact pads and a fourth plurality of redundant contact pads. The first plurality of operational contact pads can be disposed opposite to and configured to connect to the third plurality of operational contact pads. The second plurality of redundant contact pads can be disposed opposite to and configured to connect to the fourth plurality of redundant contact pads. The bonded structure can include circuitry disposed in at least the first element, the circuitry configured to transfer one or more electrical signals from a first number of pads from the first plurality of operational pads to a second number of pads from the second plurality of redundant pads, the first number greater than the second number.


In some embodiments, a ratio of the first plurality to the second plurality and of the third plurality to the fourth plurality can be in a range of 2:1 to 15:1. The ratio of the first plurality to the second plurality and of the third plurality to the fourth plurality can be in a range of 5:1 to 10:1. The first plurality of operational contact pads can comprise signal pads. Each of the first and second elements can further comprise corresponding ground and power pads. The bonded structure can include passively connected redundant pads for the ground and power pads.


In another embodiment, a bonded structure is disclosed. The bonded structure can include a first element having a first plurality of through substrate vias (TSVs), the first plurality of TSVs including a first TSV and a second redundant TSV spaced apart from one another. The bonded structure can include a second element stacked on a first side of the first element, the second element having a plurality of contact pads. The plurality of contact pads can include a first contact pad and a second redundant contact spaced apart from one another. The first TSV can be disposed opposite to and configured to connect to the first contact pad. The second TSV can be disposed opposite to and configured to connect to the second contact pad. The bonded structure can include circuitry disposed in at least the second element, the circuitry connecting the first contact pad with the second contact pad, the circuitry having a first state in which an electrical signal is transferred to the first TSV and a second state in which the electrical signal is transferred to the second redundant TSV.


In some embodiments, the bonded structure can include a third element stacked on a second side of the first element opposite to the first side. The third element can have a second plurality of contact pads. The second plurality of contact pads can include a third contact pad and a fourth redundant contact pad spaced apart from one another. The third contact pad can be disposed opposite to and configured to connect to the first TSV. The fourth contact pad can be disposed opposite to and configured to connect to the second TSV. The circuitry can be disposed in the first and/or third element. The first state can transfer the electrical signal along the first contact pad, the first TSV and the third contact pad. The second state can transfer the electrical signal along the second contact pad, the second TSV and the fourth contact pad. One or more of the first through fourth contact pads can be connected to TSVs in the first and/or third elements.


All of these embodiments are intended to be within the scope of this disclosure. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of the embodiments having reference to the attached figures, the claims not being limited to any particular embodiment(s) disclosed. Although this certain embodiments and examples have been disclosed herein, it will be understood by those skilled in the art that the disclosed implementations extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof. In addition, while several variations have been shown and described in detail, other modifications will be readily apparent to those of skill in the art based upon this disclosure. It is also contemplated that various combinations or sub-combinations of the specific features and aspects of the embodiments may be made and still fall within the scope. It should be understood that various features and aspects of the disclosed embodiments can be combined with, or substituted for, one another in order to form varying modes of the disclosed implementations. Thus, it is intended that the scope of the subject matter herein disclosed should not be limited by the particular disclosed embodiments described above, but should be determined only by a fair reading of the claims that follow.

Claims
  • 1. A bonded structure comprising: a first element having a first plurality of contact pads on a first surface, the first plurality of contact pads including a first contact pad and a second contact pad spaced apart from one another along the first surface, the second contact pad being an alternate contact pad for the first contact pad;a second element directly bonded to the first element without an intervening adhesive, the second element having a second plurality of contact pads on a second surface, the second plurality of contact pads including a third contact pad and a fourth contact pad spaced apart from one another along the second surface, wherein the first contact pad is disposed opposite to and configured to connect to the third contact pad, and wherein the second contact pad is disposed opposite to and configured to connect to the fourth contact pad; andcircuitry disposed in at least the first element, the circuitry having a first state in which an electrical signal is transferred to the first contact pad and a second state in which the electrical signal is transferred to the second contact pad.
  • 2. The bonded structure of claim 1, further comprising second circuitry in the second element, the second circuitry having a first state in which an electrical signal is transferred to the third contact pad and a second state in which the electrical signal is transferred to the fourth contact pad.
  • 3. The bonded structure of claim 2, wherein the circuitry forms at least a portion of a bidirectional tri-stated interconnect structure.
  • 4. The bonded structure of claim 3, wherein the circuitry comprises a first receive flip-flop and a first transmit flip-flop, an output line of the first transmit flip-flop electrically connected to an input line of the first receive flip-flop and to the second contact pad, the input line of the first receive flip-flop connected to the first contact pad.
  • 5. The bonded structure of claim 3, wherein the second circuitry comprises a second receive flip-flop and a second transmit flip-flop, an output line of the second transmit flip-flop electrically connected to an input line of the second receive flip-flop and to the fourth contact pad, the input line of the first receive flip-flop connected to the third contact pad.
  • 6. The bonded structure of claim 2, wherein the circuitry comprises a multiplexer (MUX) or demultiplexer (DEMUX) electrically connected to a first flip-flop, and wherein the MUX or DEMUX is configured to selectively transfer the electrical signal to the first contact pad or the second contact pad, and further comprising a second flip-flop in the second element, the MUX or DEMUX configured to transfer the electrical signal to the second flip-flop along a first path through the first contact pad or along a second path through the second contact pad.
  • 7. The bonded structure of claim 2, wherein the circuitry comprises an AND gate configured to selectively transfer the electrical signal to the first contact pad or the second contact pad.
  • 8. The bonded structure of claim 2, wherein the circuitry comprises a first plurality of multiplexers (MUX) electrically connected to a first flip-flop in the first element, wherein a first MUX of the first plurality of MUX is configured to transfer the electrical signal to the first contact pad.
  • 9. The bonded structure of claim 1, wherein the first plurality of contact pads is directly bonded to the second plurality of contact pads without an intervening adhesive.
  • 10. The bonded structure of claim 9, further comprising first and second dielectric field regions on the first and second elements, the first and second dielectric field regions directly bonded to one another without an adhesive.
  • 11. The bonded structure of claim 9, wherein a void is disposed between at least a portion of the first and third contact pads, and wherein the second and fourth contact pads physically and electrically contact one another.
  • 12. The bonded structure of claim 1, wherein the first and second contact pads are spaced apart by at least 50 microns or twice a pitch of the first plurality of contact pads.
  • 13. The bonded structure of claim 1, wherein the first contact pad and the second contact pad are connected with one another by way of a trace.
  • 14. A bonded structure comprising: a first element having a first plurality of operational contact pads and a second plurality of contact pads, wherein the first plurality of operational contact pads includes more contact pads than the second plurality of contact pads;a second element directly bonded to the first element without an intervening adhesive, the second element having a third plurality of operational contact pads and a fourth plurality of contact pads, wherein the first plurality of operational contact pads are disposed opposite to and configured to connect to the third plurality of operational contact pads, and wherein the second plurality of contact pads are disposed opposite to and configured to connect to the fourth plurality of contact pads; andcircuitry disposed in at least the first element, the circuitry configured to transfer one or more electrical signals from a first number of pads from the first plurality of operational pads to a second number of pads from the second plurality of pads, the first number greater than the second number.
  • 15. The bonded structure of claim 14, wherein a ratio of the first plurality to the second plurality and of the third plurality to the fourth plurality is in a range of 2:1 to 15:1.
  • 16. The bonded structure of claim 14, wherein the first plurality of operational contact pads comprise signal pads.
  • 17. The bonded structure of claim 14, wherein each of the first and second elements further comprise corresponding ground and power pads.
  • 18. The bonded structure of claim 14, further comprising a third element stacked on a second side of the first element opposite to the first side, the third element having a second plurality of contact pads, the second plurality of contact pads including a third contact pad and a fourth contact pad spaced apart from one another, wherein the third contact pad is disposed opposite to and configured to connect to the first TSV, and wherein the fourth contact pad is disposed opposite to and configured to connect to the second TSV.
  • 19. The bonded structure of claim 18, wherein the circuitry is disposed in the first and/or third element; the first state transfers the electrical signal along the first contact pad, the first TSV and the third contact pad; and the second state transfers the electrical signal along the second contact pad, the second TSV and the fourth contact pad.
  • 20. The bonded structure of claim 19, wherein the first element is directly bonded to the second element without an intervening adhesive.
  • 21. A bonded structure comprising: a first element having a first plurality of through substrate vias (TSVs), the first plurality of TSVs including a first TSV and a second TSV spaced apart from one another, the second TSV being an alternate TSV for the first TSV;a second element stacked on a first side of the first element, the second element having a plurality of contact pads, the plurality of contact pads including a first contact pad and a second contact spaced apart from one another, wherein the first TSV is disposed opposite to and configured to connect to the first contact pad, and wherein the second TSV is disposed opposite to and configured to connect to the second contact pad; andcircuitry disposed in at least the second element, the circuitry connecting the first contact pad with the second contact pad, the circuitry having a first state in which an electrical signal is transferred to the first TSV and a second state in which the electrical signal is transferred to the second TSV.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims priority to U.S. Provisional Patent Application No. 62/953,084, filed Dec. 23, 2019, the entire contents of which are hereby incorporated by reference herein in their entirety and for all purposes.

US Referenced Citations (199)
Number Name Date Kind
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
6080640 Gardner et al. Jun 2000 A
6255899 Bertin Jul 2001 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6887769 Kellar et al. May 2005 B2
6908027 Tolchinsky et al. Jun 2005 B2
7045453 Canaperi et al. May 2006 B2
7105980 Abbott et al. Sep 2006 B2
7193423 Dalton et al. Mar 2007 B1
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
8183127 Patti et al. May 2012 B2
8259461 Hollis Sep 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8441131 Ryan May 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
9064862 Hwang et al. Jun 2015 B2
9093350 Endo et al. Jul 2015 B2
9142517 Liu et al. Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9893004 Yazdani Feb 2018 B2
9899442 Katka Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10418277 Cheng et al. Sep 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10707087 Uzoh et al. Jul 2020 B2
10727219 Uzoh et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10804255 Agarwal Oct 2020 B1
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923408 Huang et al. Feb 2021 B2
10923413 DeLaCruz Feb 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11011494 Gao et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11296044 Gao et al. Apr 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355443 Huang et al. Jun 2022 B2
11367652 Uzoh et al. Jun 2022 B2
20040084414 Sakai et al. May 2004 A1
20050184398 Zhou Aug 2005 A1
20060057945 Hsu et al. Mar 2006 A1
20070111386 Kim et al. May 2007 A1
20110292708 Kang Dec 2011 A1
20110316572 Rahman Dec 2011 A1
20140175655 Chen et al. Jun 2014 A1
20150064498 Tong Mar 2015 A1
20150085195 Pereira Mar 2015 A1
20150206824 Ramachandra Jul 2015 A1
20150243611 Liu Aug 2015 A1
20150346279 Douskey et al. Dec 2015 A1
20150380341 Chiou et al. Dec 2015 A1
20160181228 Higuchi et al. Jun 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170069593 Chou et al. Mar 2017 A1
20170125383 Liu May 2017 A1
20170250160 Wu et al. Aug 2017 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180323952 Chang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20190096741 Uzoh et al. Mar 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20190385982 Lee et al. Dec 2019 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200035643 Hirata Jan 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200075553 DeLaCruz et al. Mar 2020 A1
20200118973 Wang et al. Apr 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200286875 Nishida Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200402959 Eom et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210020601 Chen Jan 2021 A1
20210082865 Baraskar Mar 2021 A1
20210098412 Haba et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210143921 Nasrullah et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
20230005850 Fountain, Jr. Jan 2023 A1
20230019869 Mirkarimi et al. Jan 2023 A1
Foreign Referenced Citations (4)
Number Date Country
2013-033786 Feb 2013 JP
2018-160519 Oct 2018 JP
WO 2005043584 May 2005 WO
WO 2021133671 Jul 2021 WO
Non-Patent Literature Citations (9)
Entry
Calderoni, Alessandro, “Memory Devices and Selectors for High-Density Memory Technology,” International Electron Devices Meeting, Short Course 2: Technologies for Memory-Centric Computing, Dec. 8, 2019, 330 pages.
Derbyshire, Katherine, “The Darker Side of Hybrid Bonding,” Semiconductor Engineering, Dec. 17, 2020, 6 pages.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS Ics,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences-Nanoscience and Nanotechnology, 2010, 11 pages.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1(a)-1(I), 6 pages.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
International Search Report and Written Opinion dated Apr. 21, 2021, International Application No. PCT/US2020/066001, 10 pages.
Peters, Laura, “The Path To Known Good Interconnects,” semiengineering.com/the-path-to-known-good-interconnects, Jan. 19, 2023, 13 pages (printed Jan. 24, 2023).
Related Publications (1)
Number Date Country
20210193603 A1 Jun 2021 US
Provisional Applications (1)
Number Date Country
62953084 Dec 2019 US