Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment

Information

  • Patent Grant
  • 11424103
  • Patent Number
    11,424,103
  • Date Filed
    Tuesday, August 13, 2019
    4 years ago
  • Date Issued
    Tuesday, August 23, 2022
    a year ago
Abstract
A substrate processing system for a substrate processing chamber includes a gas delivery system configured to direct process gases toward a substrate support in the substrate processing chamber and a controller. During processing of a substrate arranged on the substrate support the controller is configured to calculate, based on at least one of a position of an edge ring of the substrate support and characteristics of the process gases directed toward the substrate support, a distribution of etch by-product material redeposited onto the substrate during processing and, in response to the calculated distribution, generate control signals to cause an actuator to selectively adjust a position of the edge ring relative to the substrate and cause the gas delivery system to selectively adjust a flow of the process gases.
Description
FIELD

The present disclosure relates to substrate processing, and more particularly to systems and methods for controlling etch uniformity in substrate processing.


BACKGROUND

The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.


Substrate processing systems may be used to treat substrates such as semiconductor wafers. Example processes that may be performed on a substrate include, but are not limited to, chemical vapor deposition (CVD), atomic layer deposition (ALD), conductor etch, and/or other etch, deposition, or cleaning processes. A substrate may be arranged on a substrate support, such as a pedestal, an electrostatic chuck (ESC), etc. in a processing chamber of the substrate processing system. During etching, gas mixtures including one or more precursors may be introduced into the processing chamber and plasma may be used to initiate chemical reactions.


The substrate support may include a ceramic layer arranged to support a wafer. For example, the wafer may be clamped to the ceramic layer during processing. The substrate support may include an edge ring arranged around an outer portion (e.g., outside of and/or adjacent to a perimeter) of the substrate support. The edge ring may be provided to confine plasma to a volume above the substrate, protect the substrate support from erosion caused by the plasma, etc.


SUMMARY

A substrate support in a substrate processing system includes an inner portion and an outer portion. The inner portion is positioned below a gas distribution device configured to direct first process gases toward the inner portion. The outer portion includes an edge ring positioned around an outer perimeter of the inner portion to at least partially surround the inner portion and a substrate arranged on the inner portion. The edge ring is configured to be raised and lowered relative to the inner portion, and to direct second process gases toward the inner portion. A controller determines distribution of material deposited on the substrate during processing and, based on the determined distribution, selectively adjusts a position of the edge ring and selectively adjusts flow of at least one of the first process gases and the second process gases.


A method for processing a substrate in a substrate processing system includes providing a substrate support having an inner portion and an outer portion. The inner portion positioned below a gas distribution device, and the outer portion includes an edge ring positioned around an outer perimeter of the inner portion to at least partially surround the inner portion and a substrate arranged on the inner portion. The method further includes directing first process gases toward the inner portion using the gas distribution device, directing second process gases toward the inner portion using the edge ring, determining distribution of material deposited on the substrate during processing, selectively adjusting a position of the edge ring upward or downward relative to the inner portion, and selectively adjusting flow of at least one of the first process gases and the second process gases.


Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.





BRIEF DESCRIPTION OF THE DRAWINGS

The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:



FIG. 1 is a functional block diagram of an example processing chamber according to the present disclosure;



FIG. 2A shows example by-product distributions across a substrate for center-injected process gases according to the present disclosure;



FIG. 2B shows example by-product distributions across a substrate for side-injected process gases according to the present disclosure;



FIG. 3 shows an example control range and average profile of the by-product distribution of center-injected process gases and side-injected process gases according to the present disclosure;



FIG. 4A shows an example variable depth edge ring in a lowered position according to the present disclosure;



FIG. 4B shows an example variable depth edge ring in a raised position according to the present disclosure;



FIGS. 5A and 5B show an example edge ring including edge ring gas injection nozzles according to the present disclosure; and



FIG. 6 shows steps of an example method for controlling by-product distribution across a substrate according to the present disclosure.





In the drawings, reference numbers may be reused to identify similar and/or identical elements.


DETAILED DESCRIPTION

A substrate support in a substrate processing system may include an edge ring. An upper surface of the edge ring may extend above an upper surface of the substrate support, causing the upper surface of the substrate support (and, in some examples, an upper surface of a substrate arranged on the substrate support) to be recessed relative to the edge ring. This recess may be referred to as a pocket. A distance between the upper surface of the edge ring and the upper surface of the substrate may be referred to as a “pocket depth.” Generally, the pocket depth is fixed according to a height of the edge ring relative to the upper surface of the substrate. If a different pocket depth is required, the edge ring must be manually replaced, which may be limited by wafer handling constraints, process constraints, chamber constraints, etc.


Some aspects of etch processing may vary due to characteristics of the substrate processing system, the substrate, gas mixtures, etc. For example, flow patterns, and therefore an etch rate and etch uniformity, may vary according to the pocket depth of the edge ring, edge ring geometry (i.e., shape), etc. In some example processes, overall etch rates vary as the distance between the upper surface of the substrate and the bottom surface of the gas distribution device increases. Further, the etch rates may vary from the center of the substrate to an outer perimeter of the substrate. For example, at an outer perimeter of the substrate, sheath bending and ion tilt can cause shallow trench isolation (STI) tilt, and chemical loading associated with reactive species (e.g., etchants and/or deposition precursors) can cause hard mask critical dimension roll off. Further, material such as etch by-products can be redeposited on the substrate. Etch rates may vary according to other process parameters including, but not limited to, gas velocities across the upper surface of the substrate. For example, parameters associated with the injection of various process gases (e.g., including injection from center nozzles, side tuning nozzles, etc.) that may affect process results include, but are not limited to, gas flow rates, gas species, injection angle, injection position, etc.


Accordingly, varying the configuration of the edge ring (e.g., including edge ring height and/or geometry) may modify the gas velocity profile across the surface of the substrate. Similarly, adjusting parameters associated with the injection of various process gases may also affect process results. For example only, gas injection parameters may include, but are not limited to, gas flow, gas species, injection angle, injection position, etc. Variable depth edge ring systems and methods according to the principles of the present disclosure combine adjusting the edge ring height and adjusting the parameters of process gas injection during substrate processing to control etch uniformity. In this manner, gas flow recirculation and the associated by-product deposition can be modulated.


For example, the edge ring may be coupled to an actuator configured to raise and lower the edge ring in response to a controller, user interface, etc. In one example, a controller of the substrate processing system controls the height of the edge ring during a process, between process steps, etc. according to a particular recipe being performed and associated gas injection parameters. The controller may be configured to adjust gas injection parameters accordingly. For example only, the controller may store data (e.g., a lookup table) that indexes edge ring height, etc. to one or more parameters associated with process gas injection. The data may further associate the edge ring height and gas injection parameters with etch by-product distribution across the substrate. The data may correspond to predetermined (e.g., calibrated or programmed) data, data provided by a user via an interface, etc. In this manner, a desired etch uniformity can be achieved by dynamically adjusting the edge ring height and gas injection parameters during processing according to the etch by-product distribution. In some examples, the edge ring may include gas injection nozzles for injecting additional side tuning gases.


Referring now to FIG. 1, an example substrate processing system 100 is shown. For example only, the substrate processing system 100 may be used for performing etching using RF plasma and/or other suitable substrate processing. The substrate processing system 100 includes a processing chamber 102 that encloses other components of the substrate processing system 100 and contains the RF plasma. The substrate processing chamber 102 includes an upper electrode 104 and a substrate support 106, such as an electrostatic chuck (ESC). During operation, a substrate 108 is arranged on the substrate support 106. While a specific substrate processing system 100 and chamber 102 are shown as an example, the principles of the present disclosure may be applied to other types of substrate processing systems and chambers, such as a substrate processing system that generates plasma in-situ, that implements remote plasma generation and delivery (e.g., using a plasma tube, a microwave tube), etc.


For example only, the upper electrode 104 may include a gas distribution device such as a showerhead 109 that introduces and distributes process gases. The showerhead 109 may include a stem portion including one end connected to a top surface of the processing chamber. A base portion is generally cylindrical and extends radially outwardly from an opposite end of the stem portion at a location that is spaced from the top surface of the processing chamber. A substrate-facing surface or faceplate of the base portion of the showerhead includes a plurality of holes through which process gas or purge gas flows. Alternately, the upper electrode 104 may include a conducting plate and the process gases may be introduced in another manner.


The substrate support 106 includes a conductive baseplate 110 that acts as a lower electrode. The baseplate 110 supports a ceramic layer 112. In some examples, the ceramic layer 112 may comprise a heating layer, such as a ceramic multi-zone heating plate. A thermal resistance layer 114 (e.g., a bond layer) may be arranged between the ceramic layer 112 and the baseplate 110. The baseplate 110 may include one or more coolant channels 116 for flowing coolant through the baseplate 110.


An RF generating system 120 generates and outputs an RF voltage to one of the upper electrode 104 and the lower electrode (e.g., the baseplate 110 of the substrate support 106). The other one of the upper electrode 104 and the baseplate 110 may be DC grounded, AC grounded or floating. For example only, the RF generating system 120 may include an RF voltage generator 122 that generates the RF voltage that is fed by a matching and distribution network 124 to the upper electrode 104 or the baseplate 110. In other examples, the plasma may be generated inductively or remotely. Although, as shown for example purposes, the RF generating system 120 corresponds to a capacitively coupled plasma (CCP) system, the principles of the present disclosure may also be implemented in other suitable systems, such as, for example only transformer coupled plasma (TCP) systems, CCP cathode systems, remote microwave plasma generation and delivery systems, etc.


A gas delivery system 130 includes one or more gas sources 132-1, 132-2, . . . , and 132-N (collectively gas sources 132), where N is an integer greater than zero. The gas sources supply one or more precursors and mixtures thereof. The gas sources may also supply purge gas. Vaporized precursor may also be used. The gas sources 132 are connected by valves 134-1, 134-2, . . . , and 134-N (collectively valves 134) and mass flow controllers 136-1, 136-2, . . . , and 136-N (collectively mass flow controllers 136) to a manifold 140. An output of the manifold 140 is fed to the processing chamber 102. For example only, the output of the manifold 140 is fed to the showerhead 109.


A temperature controller 142 may be connected to a plurality of heating elements, such as thermal control elements (TCEs) 144 arranged in the ceramic layer 112. For example, the heating elements 144 may include, but are not limited to, macro heating elements corresponding to respective zones in a multi-zone heating plate and/or an array of micro heating elements disposed across multiple zones of a multi-zone heating plate. The temperature controller 142 may be used to control the plurality of heating elements 144 to control a temperature of the substrate support 106 and the substrate 108.


The temperature controller 142 may communicate with a coolant assembly 146 to control coolant flow through the channels 116. For example, the coolant assembly 146 may include a coolant pump and reservoir. The temperature controller 142 operates the coolant assembly 146 to selectively flow the coolant through the channels 116 to cool the substrate support 106.


A valve 150 and pump 152 may be used to evacuate reactants from the processing chamber 102. A system controller 160 may be used to control components of the substrate processing system 100. A robot 170 may be used to deliver substrates onto, and remove substrates from, the substrate support 106. For example, the robot 170 may transfer substrates between the substrate support 106 and a load lock 172. Although shown as separate controllers, the temperature controller 142 may be implemented within the system controller 160. In some examples, a protective seal 176 may be provided around a perimeter of the bond layer 114 between the ceramic layer 112 and the baseplate 110.


The substrate support 106 includes an edge ring 180. The edge ring 180 according to the principles of the present disclosure is moveable (e.g., moveable upward and downward in a vertical direction) relative to the substrate 108. For example, the edge ring 180 may be controlled via an actuator responsive to the controller 160 as described below in more detail. The edge ring 180 may be adjusted during substrate processing in accordance with gas injection parameters. In some examples, the edge ring 180 may include gas injection nozzles for injecting additional side tuning gases.


Referring now to FIGS. 2A and 2B, by-product distributions across a substrate for a raised (high) edge ring position and a lowered (low) edge ring position are shown. FIG. 2A shows by-product distributions for center-injected process gases (i.e., gases injected from a center or inner portion of a showerhead. A by-product distribution 200 illustrates by-product distribution (e.g., as measured in a mol fraction of SiCL4 above a corresponding position of the substrate/wafer, measured in a radius of 0 to 160 mm from a center of the substrate) with the edge ring in a lowered position. Conversely, a by-product distribution 204 illustrates by-product distribution with the edge ring in a raised position. As shown, for center-injected process gases, a greater amount of by-product is deposited near an edge region of the substrate relative to a center region of the substrate for both lowered and raised edge ring positions, but lowering the edge ring results in relatively less by-product distribution near the edge region.



FIG. 2B shows by-product distributions for side-injected process gases (i.e., gases injected from an outer, side tuning portion of a showerhead and/or, in some examples, gases injected from edge ring nozzles as described below in more detail). A by-product distribution 208 illustrates by-product distribution with the edge ring in a lowered position. Conversely, a by-product distribution 212 illustrates by-product distribution with the edge ring in a raised position. As shown, for side-injected process gases, a greater amount of by-product is deposited near a center region of the substrate relative to an edge region of the substrate for both lowered and raised edge ring positions, but lowering the edge ring results in relatively less by-product distribution near the edge region.



FIG. 3 shows an example average by-product distribution 300 of by-product distributions 304 and 308 associated with center-injected gases and side-injected process gases, respectively, across a radius of a substrate. The average by-product distribution 300 may correspond to an average by-product distribution over a predetermined period (e.g., over a predetermined period corresponding to a given processing step) for a predetermined position of the edge ring. The by-product distributions 304 and 308 may also be associated with respective predetermined gas flow rates, gas species, etc. corresponding to the center-injected gases and the side-injected gases.


A region 312 between an upper bound 316 and a lower bound 320 may therefore correspond to a tunable range of by-product distribution achievable by adjusting a position of (i.e., raising and lowering) the edge ring. For example, the upper bound 316 may correspond to an example maximum amount of by-product distribution achievable and the lower bound 320 may correspond to an example minimum amount of by-product distribution achievable. The by-product distribution may be further adjusted by selectively adjusting center-injected gas flow and side-injected gas flow. The edge ring height and gas flow can be dynamically adjusted during processing to achieve a desired by-product distribution 324 for a predetermined period.


For example, the system controller 160 may store data, such as a model, that associates an average by-product distribution for each region of a substrate with various parameters including, but not limited to, edge ring position, side-injected gas flow, center-injected gas flow, gas species, edge ring shape, etc. The data may include data indicative of the by-product distributions 304 and 308 for a plurality of different edge ring positions, process gas injection flow rates, gas species, etc. For example only, the data, including the average by-product distributions, may be determined based on estimates, models, post-processing analyses of previous substrates, etc. Accordingly, for a given set of parameters that are not adjustable during processing (e.g., edge ring shape, desired by-product distribution, etc.), the controller 160 is configured to calculate associated parameters that can be adjusted during processing to achieve the desired by-product distribution (e.g., edge ring height and respective amounts of center-injected and side-injected gas flow). In some examples, the controller 160 may dynamically calculate the by-product distribution during processing and make adjustments accordingly. For example, as shown in FIG. 2A, for a given edge ring height, center-injected gases cause greater by-product distribution at edges of the substrate while side-injected gases cause less by-product distribution at edges of the substrate.


Accordingly, processing may begin with the edge ring in a first position and with respective center-injected and side-injected gas flow rates, resulting in relatively greater by-product distribution in the edge region of the substrate and relatively less by-product distribution in the center region of the substrate. The system controller 160 may then cause the edge ring to be lowered (or raised) to a second position while also adjusting respective flow rates of the center-injected and side-injected gases. For example, the edge ring may be lowered while decreasing (or completely shutting off) the flow rate of the center-injected gas and increasing the flow rate of the side-injected gas, resulting in relatively less by-product distribution in the edge region of the substrate and relatively greater by-product distribution in the center region of the substrate. Adjusting the respective flow rates may include completely turning off the center-injected or side-injected gas flow, beginning processing with the center-injected or side-injected gas flow turned off and subsequently turning on the center-injected or side-injected gas flow, etc.


In some examples, the controller 160 may be configured to perform a sequence of predetermined adjustments for a particular process. For example, in a first predetermined period, the controller 160 may adjust the edge ring to a first height while selecting first respective center-injected and side-injected gas flow rates. In a second predetermined period, the controller 160 may adjust the edge ring to a second height while selecting second respective center-injected and side-injected gas flow rates. In this manner, a process or processing step may be segmented into two or more predetermined periods having respective edge ring positions and gas flow rates.


Referring now to FIGS. 4A, 4B, and 4C, a substrate support 400 having a substrate 404 arranged thereon according to the principles of the present disclosure is shown. The substrate support 400 may include a base or pedestal having an inner portion (e.g., corresponding to an ESC) 408 and an outer portion 412. In examples, the outer portion 412 may be independent from, and moveable in relation to, the inner portion 408. The substrate 404 is arranged on the inner portion 408 for processing. A controller 416 communicates with one or more actuators 420 to selectively raise and lower edge rings 424 to adjust a pocket depth of the support 400. For example only, the edge ring 424 is shown in a fully lowered position in FIG. 4A and in an example fully raised position in FIG. 4B. As shown, the actuators 420 correspond to pin actuators configured to selectively extend and retract pins 428 in a vertical direction. Other suitable types of actuators may be used in other examples. For example only, the edge ring 424 corresponds to a ceramic or quartz edge ring. In FIG. 4A, the controller 416 communicates with the actuators 420 to directly raise and lower the edge ring 424 via the pins 428. In some examples, the inner portion 408 is moveable relative to the edge ring 424.


Referring now to FIGS. 5A and 5B, an example substrate support 500 having a substrate 504 arranged thereon is shown. The substrate support 500 includes a base or pedestal having an inner portion 508 and an outer portion 512. The outer portion includes an edge ring 516 that is selectively moveable (i.e., raised and lowered) as described above with respect to FIGS. 1-4. However, portions of the substrate support 500 related to controlling movement of the edge ring 516 are omitted from FIG. 5 for simplicity.


The substrate support 500 is positioned below a gas distribution device such as a showerhead 520. The showerhead 520 includes a center portion 524 and may optionally include an outer portion 528. The center portion 524 includes center gas nozzles 532 arranged to direct process gases downward directly above the substrate 504. The outer portion 520 may include side-tuning gas nozzles 536 arranged to direct process gases toward outer edges of the substrate 504.


In some examples, the edge ring 516 includes edge ring nozzles 540. The edge ring nozzles 540 may be provided instead of or in addition to side-tuning gas nozzles 536 in the outer portion 528 of the showerhead 520. The edge ring nozzles 540 are arranged to provide additional side-tuning gases to further control by-product distribution as described above in FIGS. 1-3. For example, the edge ring 516 may define a plenum 544 arranged to receive, via one or more conduits 548, gases from gas source(s) 552. For example, the gas source(s) 552 provide process gases in accordance with control signals generated by a controller (e.g., the system controller 160 of FIG. 1) as described above.


Characteristics of the edge ring nozzles 540 may differ for different processes, processing chambers, etc. Example characteristics of the edge ring nozzles 540 that may be modified include, but are not limited to, quantity, size, shape, and injection angle. Accordingly, in addition to adjusting edge ring position, gas flow, etc., by-product distribution can be further controlled by selecting an edge ring with edge ring nozzles 540 having desired characteristics. In some examples, a shape of the edge ring 516 may vary to further control by-product distribution. For example, although shown with a rectangular inner diameter 556, the inner diameter 556 may be beveled, curved, etc. in other examples.


Referring now to FIG. 6, an example method 600 for controlling by-product distribution across a substrate begins at 604. At 608, the method 600 (e.g., the system controller 160) sets adjustable parameters of a process chamber based on a selected process, processing step, etc. For example, the method 600 may set the adjustable parameters according to stored data associating characteristics of a selected process (e.g., process type, gas species, edge ring characteristics, etc.) with various adjustable parameters. The parameters include, but are not limited to, edge ring position and respective gas flow rates for center-injected process gases and side-injected process gases (e.g., injected from a side-tuning portion of a showerhead and/or from edge ring nozzles of an edge ring).


At 612, the method 600 begins a process or processing step. At 616, the method 600 determines whether the processing step is complete. If true, the method 600 ends at 620. If false, the method 600 continues to 624. At 624, the method 600 determines whether to adjust parameters related to control of by-product distribution as described above with respect to FIGS. 1-5. For example, the method 600 may adjust edge ring position and/or process gas flow rates after a predetermined period, based on a calculation or estimate of by-product distribution during the process, etc. If true, the method 600 continues to 628. If false, the method 600 continues to 616.


At 628, the method 600 (e.g., the system controller 160) adjusts the edge ring position and/or gas flow rates. In some examples, the method 600 adjusts the edge ring position and the gas flow rates to predetermined values (e.g., based on a time elapsed since the process/processing step began). In other examples, the method 600 may calculate or estimate (e.g., based on current and previous edge ring positions, gas flow rates, etc.) by-product distribution in various regions of the substrate and adjust the edge ring position and/or gas flow rates accordingly. The method 600 then continues to 616.


The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.


Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”


In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Claims
  • 1. A substrate processing system for a substrate processing chamber, the substrate processing system comprising: a gas delivery system configured to direct process gases toward a substrate support in the substrate processing chamber; anda controller configured to, during processing of a substrate arranged on the substrate support, calculate, based on at least one of a position of an edge ring of the substrate support and characteristics of the process gases directed toward the substrate support, a distribution of etch by-product material redeposited onto the substrate during processing, andin response to the calculated distribution, generate control signals to (i) cause an actuator to selectively adjust a position of the edge ring relative to the substrate and (ii) cause the gas delivery system to selectively adjust a flow of the process gases.
  • 2. The substrate processing system of claim 1, wherein the controller is configured to calculate the distribution based on data indicative of a time averaged distribution of the etch by-product material.
  • 3. The substrate processing system of claim 1, wherein the controller is configured to calculate the distribution based on data that associates the distribution of the etch by-product material redeposited onto the substrate with at least one of the position of the edge ring and a flow of the process gases.
  • 4. The substrate processing system of claim 1, wherein the process gases include first process gases and second process gases, and wherein the gas delivery system is configured to (i) direct the first process gases toward an inner portion of the substrate support and (ii) direct the second process gases toward the substrate support through the edge ring.
  • 5. The substrate processing system of claim 4, wherein the controller is configured to calculate the distribution using an average of (i) etch by-product material deposited on the substrate caused by the first process gases and (ii) etch by-product material deposited on the substrate caused by the second process gases.
  • 6. The substrate processing system of claim 5, wherein the controller is configured to, based on the calculated distribution, (i) adjust the position of the edge ring to a first position, adjust flow of the first process gases to a first flow rate, and adjust flow of the second process gases to a second flow rate for a first predetermined period, and (ii) subsequent to the first predetermined period, adjust the position of the edge ring to a second position, adjust the flow of the first process gases to a third flow rate, and adjust the flow of the second process gases to a fourth flow rate for a second predetermined period.
  • 7. The substrate processing system of claim 1, further comprising the actuator.
  • 8. The substrate processing system of claim 1 further comprising the substrate support and the edge ring.
  • 9. The substrate processing system of claim 8, wherein the process gases include first process gases and second process gases and the substrate support includes: an inner portion positioned below a gas distribution device configured to direct the first process gases toward the inner portion; andan outer portion including the edge ring, wherein the edge ring is positioned around an outer perimeter of the inner portion to at least partially surround the inner portion and the substrate is arranged on the inner portion, wherein the edge ring is configured to be raised and lowered relative to the inner portion in response to the control signals generated by the controller, and wherein the edge ring is configured to direct the second process gases toward the inner portion.
  • 10. The substrate processing system of claim 9, wherein the edge ring includes a plurality of gas injection nozzles.
  • 11. The substrate processing system of claim 10, wherein the plurality of gas injection nozzles are in fluid communication with a source of the second process gases via a plenum defined within the edge ring and at least one conduit coupled to the source.
  • 12. A method, comprising: during processing of a substrate arranged on a substrate support in a substrate processing chamber, calculating, based on at least one of a position of an edge ring of the substrate support and characteristics of process gases directed toward the substrate support, a distribution of etch by-product material redeposited onto the substrate during processing, andin response to the calculated distribution, using a controller to generate control signals to (i) cause an actuator to selectively adjust a position of the edge ring relative to the substrate and (ii) cause a gas delivery system to selectively adjust a flow of the process gases.
  • 13. The method of claim 12, further comprising calculating the distribution based on data indicative of a time averaged distribution of the etch by-product material.
  • 14. The method of claim 12, further comprising calculating the distribution based on data that associates the distribution of the etch by-product material redeposited onto the substrate with at least one of the position of the edge ring and a flow of the process gases.
  • 15. The method of claim 12, wherein the process gases include first process gases and second process gases, the method further comprising directing the first process gases toward an inner portion of the substrate support and (ii) directing the second process gases toward the substrate support through the edge ring.
  • 16. The method of claim 15, further comprising calculating the distribution using an average of (i) etch by-product material deposited on the substrate caused by the first process gases and (ii) etch by-product material deposited on the substrate caused by the second process gases.
  • 17. The method of claim 16, further comprising, based on the calculated distribution, (i) adjusting the position of the edge ring to a first position, adjusting flow of the first process gases to a first flow rate, and adjusting flow of the second process gases to a second flow rate for a first predetermined period, and (ii) subsequent to the first predetermined period, adjusting the position of the edge ring to a second position, adjusting the flow of the first process gases to a third flow rate, and adjusting the flow of the second process gases to a fourth flow rate for a second predetermined period.
  • 18. The method of claim 12, wherein the process gases include first process gases and second process gases, the method further comprising: directing the first process gases toward an inner portion of the substrate support using a gas distribution device; anddirecting the second process gases toward the inner portion through the edge ring.
  • 19. A controller for a substrate processing system, the controller comprising: memory that stores data associating a distribution of etch by-product material redeposited onto a substrate during processing of the substrate to at least one of (i) a position of an edge ring of a substrate support and (ii) characteristics of process gases directed toward the substrate support; anda processor configured to, during processing of the substrate, calculate the distribution of the etch by-product material redeposited onto the substrate during processing using the stored data, andin response to the calculated distribution, generate control signals to (i) cause an actuator to selectively adjust a position of the edge ring relative to the substrate and (ii) cause a gas delivery system to selectively adjust a flow of the process gases.
  • 20. The controller of claim 19, wherein the data includes a time averaged distribution of the etch by-product material.
CROSS-REFERENCE TO RELATED APPLICATIONS

The present disclosure is a continuation of U.S. patent application Ser. No. 15/241,393, filed on Aug. 19, 2016. The entire disclosure of the application referenced above is incorporated herein by reference.

US Referenced Citations (214)
Number Name Date Kind
4374698 Sanders et al. Feb 1983 A
4431477 Zajac Feb 1984 A
4793897 Dunfield et al. Dec 1988 A
5329965 Gordon Jul 1994 A
5605179 Strong, Jr. et al. Feb 1997 A
5660673 Miyoshi Aug 1997 A
5662143 Caughran Sep 1997 A
5744695 Forbes Apr 1998 A
5762714 Mohn et al. Jun 1998 A
5907221 Sato et al. May 1999 A
6022809 Fan Feb 2000 A
6042687 Singh et al. Mar 2000 A
6044534 Seo et al. Apr 2000 A
6060400 Oehrlein et al. May 2000 A
6074959 Wang et al. Jun 2000 A
6152168 Ohmi et al. Nov 2000 A
6206976 Crevasse et al. Mar 2001 B1
6210593 Ohkuni Apr 2001 B1
6376386 Oshima Apr 2002 B1
6492774 Han et al. Dec 2002 B1
6589352 Yudovsky et al. Jul 2003 B1
6709547 Ni Mar 2004 B1
6736931 Collins et al. May 2004 B2
6744212 Fischer et al. Jun 2004 B2
6818560 Koshimizu et al. Nov 2004 B1
6841943 Vahedi et al. Jan 2005 B2
6896765 Steger May 2005 B2
6898558 Klekotka May 2005 B2
6962879 Zhu et al. Nov 2005 B2
7129171 Zhu et al. Oct 2006 B2
7288482 Panda et al. Oct 2007 B2
7309646 Heo et al. Dec 2007 B1
7311784 Fink Dec 2007 B2
7338907 Li et al. Mar 2008 B2
7481944 Nozawa Jan 2009 B2
7736998 Morita Jun 2010 B2
7757541 Monkowski et al. Jul 2010 B1
7758698 Bang et al. Jul 2010 B2
7882800 Koshiishi Feb 2011 B2
7968469 Collins et al. Jun 2011 B2
8137463 Liu et al. Mar 2012 B2
8552334 Tappan et al. Oct 2013 B2
8555920 Hirata et al. Oct 2013 B2
8592328 Hausmann et al. Nov 2013 B2
8889024 Watanabe et al. Nov 2014 B2
8956980 Chen et al. Feb 2015 B1
8999106 Liu et al. Apr 2015 B2
9011637 Yamamoto Apr 2015 B2
9051647 Cooperberg et al. Jun 2015 B2
9059678 Long et al. Jun 2015 B2
9142391 Yamamoto Sep 2015 B2
9318343 Ranjan et al. Apr 2016 B2
9471065 Koyomogi et al. Oct 2016 B2
9640409 Yang et al. May 2017 B1
10410832 Zhang Sep 2019 B2
10504738 Lin Dec 2019 B2
10510516 Lin Dec 2019 B2
10651015 Angelov May 2020 B2
10699878 Caron Jun 2020 B2
10825659 Treadwell Nov 2020 B2
20010002581 Nishikawa et al. Jun 2001 A1
20010004903 Ohmi et al. Jun 2001 A1
20010013363 Kitayama et al. Aug 2001 A1
20020038669 Yamagishi et al. Apr 2002 A1
20020042205 McMillin et al. Apr 2002 A1
20020045265 Bergh et al. Apr 2002 A1
20020046991 Smith et al. Apr 2002 A1
20020048536 Bergh et al. Apr 2002 A1
20020072240 Koike Jun 2002 A1
20020088542 Nishikawa et al. Jul 2002 A1
20020160125 Johnson et al. Oct 2002 A1
20020174905 Latino et al. Nov 2002 A1
20020175144 Hung et al. Nov 2002 A1
20030201069 Johnson Oct 2003 A1
20030236592 Shajii et al. Dec 2003 A1
20030236638 Shajii et al. Dec 2003 A1
20030236643 Shajii et al. Dec 2003 A1
20040053428 Steger Mar 2004 A1
20040089240 Dando et al. May 2004 A1
20040094206 Ishida May 2004 A1
20040112538 Larson et al. Jun 2004 A1
20040112539 Larson et al. Jun 2004 A1
20040112540 Larson et al. Jun 2004 A1
20040149389 Fink Aug 2004 A1
20040163601 Kadotani Aug 2004 A1
20040168719 Nambu Sep 2004 A1
20040173270 Harris et al. Sep 2004 A1
20040200529 Lull et al. Oct 2004 A1
20050005994 Sugiyama et al. Jan 2005 A1
20050067021 Bevers et al. Mar 2005 A1
20050082007 Nguyen et al. Apr 2005 A1
20050155625 Jangjian et al. Jul 2005 A1
20050199342 Shajii et al. Sep 2005 A1
20050241763 Huang et al. Nov 2005 A1
20060011237 Tison et al. Jan 2006 A1
20060060141 Kamaishi et al. Mar 2006 A1
20060097644 Kono et al. May 2006 A1
20060124169 Mizusawa et al. Jun 2006 A1
20060207595 Ohmi et al. Sep 2006 A1
20060237063 Ding et al. Oct 2006 A1
20070026684 Parascandola et al. Feb 2007 A1
20070066038 Sadjadi et al. Mar 2007 A1
20070158025 Larson Jul 2007 A1
20070175391 Mizusawa Aug 2007 A1
20070204797 Fischer Sep 2007 A1
20070233412 Gotoh et al. Oct 2007 A1
20070240778 L'Bassi et al. Oct 2007 A1
20070259112 Ishikawa et al. Nov 2007 A1
20080115834 Geoffrion et al. May 2008 A1
20080121178 Bang et al. May 2008 A1
20080202588 Gold et al. Aug 2008 A1
20080202609 Gold et al. Aug 2008 A1
20080202610 Gold et al. Aug 2008 A1
20080223873 Chen Sep 2008 A1
20080236749 Koshimizu et al. Oct 2008 A1
20090056629 Katz Mar 2009 A1
20090061083 Chiang et al. Mar 2009 A1
20090061640 Wong et al. Mar 2009 A1
20090061644 Chiang et al. Mar 2009 A1
20090067954 Lanee et al. Mar 2009 A1
20090095364 Itoh et al. Apr 2009 A1
20090162952 Liu et al. Jun 2009 A1
20090183548 Monkowski et al. Jul 2009 A1
20090183549 Monkowski et al. Jul 2009 A1
20090197423 Koshimizu et al. Aug 2009 A1
20090221117 Tan et al. Sep 2009 A1
20090236313 Qiu et al. Sep 2009 A1
20090272717 Pamarthy et al. Nov 2009 A1
20090320754 Oya et al. Dec 2009 A1
20100012310 Christensen et al. Jan 2010 A1
20100025369 Negishi et al. Feb 2010 A1
20100030390 Yamaguchi et al. Feb 2010 A1
20100071438 Davis et al. Mar 2010 A1
20100144539 Bergh et al. Jun 2010 A1
20100145633 Yasuda Jun 2010 A1
20100178770 Zin Jul 2010 A1
20100229976 Hirata et al. Sep 2010 A1
20100264117 Ohmi et al. Oct 2010 A1
20100269924 Yasuda Oct 2010 A1
20110019332 Chistyakov Jan 2011 A1
20110031111 Kobayashi Feb 2011 A1
20110094596 Sugiyama et al. Apr 2011 A1
20110135821 Ding Jun 2011 A1
20110253225 Beeby et al. Oct 2011 A1
20110265883 Cruse et al. Nov 2011 A1
20110287631 Yamamoto Nov 2011 A1
20110303696 Kelekar et al. Dec 2011 A1
20120031500 Hirose et al. Feb 2012 A1
20120034786 Dhindsa et al. Feb 2012 A1
20120097266 Cobb et al. Apr 2012 A1
20120149213 Nittala et al. Jun 2012 A1
20120152364 Hashimoto et al. Jun 2012 A1
20120174990 Yasuda Jul 2012 A1
20120190208 Ozu et al. Jul 2012 A1
20120238102 Zhang et al. Sep 2012 A1
20120238103 Zhang et al. Sep 2012 A1
20120244715 Lebouitz et al. Sep 2012 A1
20120289057 DeDontney Nov 2012 A1
20120298221 Takeuchi et al. Nov 2012 A1
20120305184 Singh et al. Dec 2012 A1
20120328780 Yamagishi Dec 2012 A1
20130008607 Matsumoto et al. Jan 2013 A1
20130025715 Yamaguchi et al. Jan 2013 A1
20130029494 Sasaki et al. Jan 2013 A1
20130029496 Bauer et al. Jan 2013 A1
20130045605 Wang et al. Feb 2013 A1
20130085618 Ding Apr 2013 A1
20130104996 Oh et al. May 2013 A1
20130106286 Banna et al. May 2013 A1
20130131300 Olmscheid et al. May 2013 A1
20130145816 Lowe Jun 2013 A1
20130157388 Grimbergen Jun 2013 A1
20130220433 Sawada et al. Aug 2013 A1
20130270997 Zhao et al. Oct 2013 A1
20130288477 Rieschl et al. Oct 2013 A1
20140033828 Larson et al. Feb 2014 A1
20140080308 Chen et al. Mar 2014 A1
20140120737 Swaminathan et al. May 2014 A1
20140141621 Ren et al. May 2014 A1
20140144471 Kahlon et al. May 2014 A1
20140182689 Shareef et al. Jul 2014 A1
20140213055 Himori et al. Jul 2014 A1
20140248780 Ingle et al. Sep 2014 A1
20140262038 Wang et al. Sep 2014 A1
20140273460 Reyland et al. Sep 2014 A1
20150010381 Cai Jan 2015 A1
20150017810 Guha Jan 2015 A1
20150059859 Takahashi et al. Mar 2015 A1
20150181684 Banna et al. Jun 2015 A1
20150184287 Tsung et al. Jul 2015 A1
20150287572 Daugherty et al. Oct 2015 A1
20150340209 Koltonski Nov 2015 A1
20150371831 Rozenzon et al. Dec 2015 A1
20160111258 Taskar et al. Apr 2016 A1
20160181116 Berry, III et al. Jun 2016 A1
20160211165 McChesney Jul 2016 A1
20160211166 Yan et al. Jul 2016 A1
20160215392 Yudovsky et al. Jul 2016 A1
20160247688 Zhu et al. Aug 2016 A1
20170018407 Kondo Jan 2017 A1
20170032982 Drewery et al. Feb 2017 A1
20170069511 Yang et al. Mar 2017 A1
20170110335 Yang et al. Apr 2017 A1
20170200586 Treadwell et al. Jul 2017 A1
20170213758 Rice et al. Jul 2017 A1
20170236688 Caron et al. Aug 2017 A1
20170236694 Eason et al. Aug 2017 A1
20170236741 Angelov et al. Aug 2017 A1
20170236743 Severson et al. Aug 2017 A1
20170278679 Angelov et al. Sep 2017 A1
20170287682 Musselman et al. Oct 2017 A1
20170287753 Musselman et al. Oct 2017 A1
20180053629 Zhang et al. Feb 2018 A1
20190013232 Yan et al. Jan 2019 A1
Foreign Referenced Citations (35)
Number Date Country
102243977 Nov 2011 CN
102315150 Jan 2012 CN
103730318 Apr 2014 CN
104299929 Jan 2015 CN
104752141 Jul 2015 CN
104851832 Aug 2015 CN
105336561 Feb 2016 CN
107093569 Aug 2017 CN
0424299 Apr 1991 EP
0838842 Apr 1998 EP
H10-280173 Oct 1998 JP
2001-230239 Aug 2001 JP
2002-217171 Aug 2002 JP
2006-344701 Dec 2006 JP
2007-234867 Sep 2007 JP
2012-049376 Mar 2012 JP
2015201552 Nov 2015 JP
20040050080 Jun 2004 KR
20050008792 Jan 2005 KR
20080023569 Mar 2008 KR
2010-0105695 Sep 2010 KR
2011-0125188 Nov 2011 KR
20130137962 Dec 2013 KR
20140001540 Jan 2014 KR
2014-0132542 Nov 2014 KR
101974420 May 2019 KR
101974422 May 2019 KR
506234 Oct 2002 TW
201207933 Feb 2012 TW
201324653 Jun 2013 TW
201436089 Sep 2014 TW
WO-0040776 Jul 2000 WO
WO-2011051251 May 2011 WO
WO-2013123617 Aug 2013 WO
WO-2014068886 May 2014 WO
Non-Patent Literature Citations (26)
Entry
U.S. Appl. No. 14/945,680, John Drewery.
U.S. Appl. No. 15/013,400, Yang et al.
U.S. Appl. No. 15/014,539, Yang et al.
U.S. Appl. No. 15/399,692, Treadwell et al.
U.S. Appl. No. 15/427,163, filed Feb. 8, 2017, Kwame Eason et al.
U.S. Appl. No. 62/275,837, filed Jan. 7, 2016, Jason Lee Treadwell et al.
Blain (Mar./ Apr. 1999) “Mechanism of nitrogen removal from silicon nitride by nitric oxide,” Journal of Vacuum Science & Technology A, 17(2):665-667.
Blain et al. (Jul./Aug. 1996) “Role of nitrogen in the downstream etching of silicon nitride,” Journal of Vacuum Science & Technology A, 14(4):2151-2157.
Bohr, Mark, “The New Era of Scaling in an SoC World” Intel Logic Technology Development; ISSCC; 2009; 66 Pages.
Dusa, Mircean et al., “Pitch Doubling Through Dual Patterning Lithography Challenges in Integration and Litho Budgets,” Optical Microlithography XX, vol. 6520, 65200G, (2007); 10 pages.
European Extended Search Report dated May 30, 2016 issued in EP 15 199 363.1 [LAMRP146EP].
First Office Action dated Aug. 2, 2018 corresponding to Chinese Patent Application No. 201710036188.9, 7 pages.
First Office Action dated Dec. 29, 2017 corresponding to Chinese Patent Application No. 201610032252.1, 12 pages.
Kastenmeier et al. (Nov./Dec. 1999) “Highly selective etching of silicon nitride over silicon and silicon dioxide,” J. Vac. Sci. Techno!. A, American Vacuum Society, I7 (6):3179-3184.
Kastenmeier et al. (Sep./Oct. 1996) “Chemical dry etching of silicon nitride and silicon dioxide using CF4/02/N2 gas mixtures,” J. Vac. Sci. Technol. A14(5):2802-2813.
MP125E N-470 Linear Drive User Manual; Version 1.1.0; Date Sep. 8, 2014; 54 Pages; Physik Instrumente (PI) GmbH & Co. KG, Auf der Roemerstr. 1, 76228 Karlsruhe, Germany.
Oehrlein et al. (1996) “Study of plasma-surface interactions: chemical dry etching and high-density plasma etching.” Plasma Sources Sci. Technol. 5:193-199.
Tajima et al. (2013) “Room-Temperature Si Etching in NO/F2 Gases and the Investigation of Surface Reaction Mechanisms,” The Journal of Physical Chemistry C, 117:5118-5125.
U.S. Office Action dated Oct. 5, 2015 issued in U.S. Appl. No. 14/576,020 [LAMRP146].
U.S. Appl. No. 62/106,407, filed Jan. 22, 2015, in the names of Joseph Yudovsky et al., & entitled “Injector for Spatially Separated Atomic Layer Deposition Chamber” pp. 1-68. (Year: 2015).
U.S. Final Office Action dated Feb. 26, 2016 issued in U.S. Appl. No. 14/576,020 [LAMRP146].
U.S. Office Action dated Sep. 23, 2016 issued in U.S. Appl. No. 14/576,020 [LAMRP146].
U.S. Appl. No. 62/065,497, entitled “Gas Supply Delivery Arrangement Including a Gas Splitter for Tunable Gas Flow Control,” filed Oct. 17, 2014, in the names of Mark Taskar et al. (Year: 2014).
Yun et al. (2007) “Large Etch Rate Enhancement by NO-Iduced Surface Chemical Reaction during Chemical Dry Etching of Silicon Oxide in F2 Remote Plasmas,” Journal of The Electrochemical Society, 154(4):D267-D272.
First Office Action with Translation dated Sep. 7, 2021 corresponding to Korean Patent Application No. 10-2017-0083210, 6 pages.
First Office Action with Translation dated Oct. 7, 2021 corresponding to Japanese Patent Application No. 2017-154893, 7 pages.
Related Publications (1)
Number Date Country
20190362940 A1 Nov 2019 US
Continuations (1)
Number Date Country
Parent 15241393 Aug 2016 US
Child 16539494 US