This invention pertains to methods of semiconductor device manufacturing. Specifically, embodiments of this invention pertain to deposition of metals, such as molybdenum, in semiconductor processing.
In semiconductor device fabrication, deposition and etching techniques are used for forming patterns of materials, such as for forming metal lines embedded in dielectric layers. Some patterning schemes require conformal deposition of materials, where the deposited layer should follow the contour of protrusions and/or recessed features on the surface of the substrate. Atomic layer deposition (ALD) is often a preferred method of forming conformal films on a substrate, because ALD relies on adsorption of one or more reactants (precursors) to the surface of the substrate, and on subsequent chemical transformation of the adsorbed layer to the desired material. Because ALD uses sequential reactions that occur on the surface of the substrate, that are separated in time, and that are typically limited by the amount of the adsorbed reactant, this method can provide thin conformal layers having excellent step coverage.
Chemical vapor deposition (CVD) is another deposition method widely used in semiconductor processing. In CVD, the reaction occurs in the volume of the process chamber and is not limited by the amount of reactants adsorbed to the substrate. As a result, CVD-deposited films are often less conformal than ALD-deposited films. CVD is typically used in applications where step coverage is less important.
ALD and CVD may employ plasma to promote the reactions of the deposition precursors resulting in the formation of the desired films. The methods that make use of the plasma are known as plasma enhanced ALD (PEALD) and plasma enhanced CVD (PECVD). The methods that do not employ plasma are referred to as thermal ALD and thermal CVD.
While ALD and CVD are most commonly used for deposition of silicon-containing films, such as silicon oxide, silicon nitride, and silicon carbide, these methods are also suitable for deposition of some metals.
The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventor, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Methods for depositing metal films in recessed features of semiconductor substrates using inhibition of selected substrate surfaces to modulate deposition profile are provided. In some implementations, a halogen-containing deposition inhibitor is employed to lower the metal deposition rate at selected regions of the substrate (e.g., in the field region, and/or near the opening of the recessed features) and to thereby improve step coverage during metal deposition, or to achieve bottom-up metal fill of the recessed features. In some embodiments, the substrate is contacted with a halogen-containing inhibitor prior to contact with a metal precursor. In some embodiments the substrate is contacted contemporaneously with a metal precursor and with the halogen-containing inhibitor.
In one aspect a method of forming a metal layer on a semiconductor substrate is provided. In some embodiments the method includes: (a) providing a semiconductor substrate having a recessed feature; (b) exposing the semiconductor substrate to a deposition inhibitor, wherein the deposition inhibitor is a halogen-containing compound, and wherein the deposition inhibitor modifies a surface of the semiconductor substrate to make the surface more resistant to metal deposition than the surface was before modification; and (c) exposing the semiconductor substrate to a metal precursor and a reducing agent to form a metal layer at least within the recessed feature on the semiconductor substrate, wherein the metal precursor and the halogen-containing compound are different (are not the same compound). In some embodiments, the halogen-containing compound is an alkyl halide (e.g., a tertiary alkyl halide, such as t-butyl chloride). In some embodiments, the halogen-containing compound is an iodine-containing compound.
In some embodiments the semiconductor substrate is exposed to the deposition inhibitor prior to exposure to the metal precursor. In some embodiments the semiconductor substrate is exposed to the deposition inhibitor contemporaneously with the metal precursor. For example, the deposition inhibitor and the metal precursor can be co-flowed to a process chamber.
Provided methods can be used for deposition of a variety of metal layers, such as a molybdenum layer, a cobalt layer, a ruthenium layer, or any combination thereof. In one implementation, the deposited metal layer is a molybdenum layer and the metal precursor is a molybdenum precursor comprising a molybdenum-halogen bond. For example, the molybdenum precursor may include MoCl5, Mo2Cl10, MoO2Cl2, or MoOCl4, or any combination thereof. In some embodiments organometallic molybdenum precursors, such as halogen-free organometallic molybdenum precursors are used. An example of a halogen-free organometallic molybdenum precursor is bis(ethylbenxene)bolybdenum.
In some embodiments the deposition inhibitor modifies a surface on a field region and near an opening of the recessed feature to a greater degree than a surface of a bottom of the recessed feature. The modification can include, for example, at least one of physisorption and chemisorption. For example, in some embodiments the deposition inhibitor modifies the surface of the substrate by halogenating the surface of the substrate. The surface of the semiconductor substrate modified by the deposition inhibitor may include a metal, a metal nitride, a metal oxide, a metal carbide, metal silicide, amorphous silicon, polycristalline silicon, hydrogen-terminated silicon, silicon germanium, a silicon-containing dielectric, and any combination thereof. In one implementation the provided substrate comprises exposed metal nitride (e.g., titanium nitride), wherein the exposed metal nitride lines sidewalls of the recessed feature and a bottom of the recessed feature. The deposition inhibitor may modify the surface of the titanium nitride in the field region to a greater degree than at the bottom of the recessed feature.
In some embodiments the metal layer is deposited at a temperature of between about 250° C. and 600° C. (e.g. between about 350° C. and 600° C.) and a pressure of less than about 300 torr (e.g., less than about 200 torr).
In one implementation, after the semiconductor substrate has been exposed to the deposition inhibitor, the substrate is sequentially exposed to the metal precursor and a reducing agent, the sequential exposure to the metal precursor and the reducing agent is repeated to perform at least 10 inhibitor-free deposition cycles.
In another implementation the method comprises: (i) exposing the semiconductor substrate to the deposition inhibitor; (ii) after (i), exposing the semiconductor substrate to the metal precursor; (iii) after (ii) exposing the semiconductor substrate to a reducing agent to reduce the metal precursor to metal; and (iv) repeating steps (i)-(iii) to perform at least 10 inhibitor-assisted deposition cycles. In some embodiments each inhibitor-assisted deposition cycle includes a purging step after each of the exposure of the semiconductor substrate to the deposition inhibitor, exposure of the semiconductor substrate to the metal precursor, and the exposure of the semiconductor substrate to the reducing agent.
In some embodiments the metal layer is deposited conformally. In other embodiments the metal layer is deposited in a bottom-up deposition mode to fill the recessed feature.
In some embodiments the methods provided herein are integrated with photolithographic processing. For example, the methods may include the steps of: applying photoresist to the semiconductor substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the semiconductor substrate; and selectively removing the photoresist from the semiconductor substrate. For example, such photolithographic patterning may be used to form recessed features on the substrate prior to deposition of the metal layer.
In another aspect, an apparatus for processing a semiconductor substrate is provided, where the apparatus includes a controller having program constructions configured to cause performance of any of the methods provided herein. In some embodiments the apparatus includes: (a) a process chamber, having a substrate holder for holding a semiconductor substrate, and one or more inlets for introduction of reactants to the process chamber; and (b) a controller. The controller may include program instructions for: (i) causing contact of a semiconductor substrate having a recessed feature with a deposition inhibitor, wherein the deposition inhibitor is a halogen-containing compound, and wherein the deposition inhibitor modifies a surface of the semiconductor substrate to make the modified surface more resistant to metal deposition than the surface was before the modification; and (ii) causing contact of the semiconductor substrate with a metal precursor, and causing a reduction of the metal precursor to form a metal layer at least within the recessed feature on the semiconductor substrate, wherein the halogen-containing compound and the metal precursor are different.
In another aspect, a system is provided, wherein the system includes an apparatus provided herein and a stepper.
In another aspect, a non-transitory tangible computer machine-readable medium is provided, that includes program code for causing performance of any of the methods provided herein. For example it may include code configured for: (i) causing contact of a semiconductor substrate having a recessed feature with a deposition inhibitor, wherein the deposition inhibitor is a halogen-containing compound, and wherein the deposition inhibitor modifies a surface of the semiconductor substrate to make the modified surface more resistant to metal deposition than the surface was before the modification; and (ii) causing contact of the semiconductor substrate with a metal precursor, and causing a reduction of the metal precursor to form a metal layer at least within the recessed feature on the semiconductor substrate, wherein the halogen-containing compound and the metal precursor are different.
These and other aspects of implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below.
Methods for depositing metal films, such as molybdenum films, ruthenium films, tungsten films, and cobalt films, in recessed features of semiconductor substrates using gas phase deposition, such as CVD or ALD deposition, are provided. The methods utilize a halogen-containing deposition inhibitor to lower the metal deposition rate on selected surfaces of the substrate compared to what the deposition rate would have been without inhibition. In some implementations the deposition inhibition completely blocks metal growth on inhibitor-modified surfaces. In other implementations the metal deposition rate on inhibitor-modified surfaces is at least 1.5 times lower, such as at least 2 times lower, or at least 4 times lower than the deposition rate that would have been observed without inhibition. For example, ALD deposition without an inhibitor may deposit 0.4-0.8 Å of metal per ALD deposition cycle, while ALD deposition with inhibition, as provided herein, can lower the deposition rate to 0-0.2 Å of metal per ALD deposition cycle.
The inhibition can be used to control the deposition profile of the metal in the recessed features, as desired. For example, inhibition can be used to deposit conformal metal films (e.g., 1-10 nm thick) with excellent step coverage by selectively inhibiting deposition on those surfaces that would otherwise experience excessive unwanted deposition (e.g., field region, and areas near the opening of recessed features). The processes may then follow to fill the recessed features using conformal fill, which is characterized by substantially identical growth rates on sidewalls and bottom portions of the recessed features. In another example, inhibition of deposition in the field region and upper portion of the recessed feature, can be used to achieve bottom-up metal growth in the recessed feature, where the recessed feature may be filled with the metal, by depositing metal at the bottom of the feature at significantly higher rate than at feature sidewalls.
The halogen-containing deposition inhibitors provided herein can modify the surface of the substrate (e.g., via at least one of chemisorption and physisorption) to make the surface more resistant to metal growth. For example, alkyl halide treated surfaces can exhibit less metal nucleation compared to untreated surfaces.
In some embodiments the substrate is contacted with a halogen-containing deposition inhibitor such that there is a concentration gradient of the halogen-containing compound, and the more exposed field region surface of the semiconductor substrate is modified by the halogen-containing compound to a greater degree than a less exposed surface at the bottom of the recessed feature because the concentration of the halogen-containing compound in the field is greater than at the bottom of the recessed feature. The concentration gradient can be easily achieved by flowing a limited amount of the halogen-containing compound to the process chamber housing the semiconductor substrate and/or by limiting time of contact between the halogen-containing compound and the semiconductor substrate as desired. Further, in some embodiments, the top portion of sidewalls of the recessed feature is modified to a greater degree than a bottom portion of the sidewalls, e.g., the concentration of the alkyl halide at the top 20% of the sidewalls can be higher than at the feature bottom, and near the lower 20% of the sidewalls. This selective inhibition by the halogen-containing deposition inhibitor can be used to achieve deposition of metal in a conformal manner or for bottom-up deposition.
The halogen-containing deposition inhibitors can be used to inhibit deposition of metals on a variety of surfaces including but not limited to deposition on metals (e.g., molybdenum, tungsten, cobalt, ruthenium, copper, titanium and combinations thereof), metal nitrides (e.g., titanium nitride, tantalum nitride and combinations thereof), metal carbides (e.g., tungsten carbide), metal oxides (e.g., aluminum oxide, hafnium oxide, titanium oxide, and combinations thereof), metal silicides (e.g., titanium silicide) silicon (e.g., amorphous silicon, polycrystalline silicon, hydrogen-terminated silicon and combinations thereof), silicon germanium, silicon-containing dielectrics (e.g., silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon oxycarbide, and combinations thereof), carbon (e.g., amorphous carbon) and on any combination thereof.
The deposition is typically inhibited by exposing the substrate containing any of these materials to the halogen-containing compound and allowing the halogen-containing compound to adsorb to the surface. The halogen-containing compound can be flowed into a process chamber housing the substrate either by itself, or with at least one of an inert carrier gas, a metal precursor, and a reducing agent. Provided methods are useful for depositing metals on a substrate that has the same exposed material in the field region, on the sidewalls of a recessed feature and at the bottom of the recessed feature, because such substrates provide limited avenues for modulating deposition selectivity and profiles. However, provided methods are not restricted to such substrates, and can be used for depositing on substrates with dissimilar exposed materials. For example, provided methods can be used for depositing metals in a recessed feature having a silicon-containing dielectric (e.g., silicon oxide) exposed in the field region and on sidewalls of a recessed feature, and a metal (e.g., tungsten) exposed at the bottom of the recessed feature.
While provided methods can be used on substrates with different types of recessed features, including trenches, vias, contact holes and combinations thereof, the methods are particularly useful for deposition in recessed features with aspect ratios greater than 2:1, such as at least 5:1. In some embodiments provided methods are used for deposition of metals in high aspect ratio features with aspect ratios of at least 10:1 such as at least 50:1.
Provided methods can be used in a variety of applications including but not limited to deposition of metals (e.g. molybdenum) in gapfill applications, and formation of conformal metallic metal films. Examples of semiconductor device structures that can be manufactured using provided methods include back end of the line (BEOL) metallization structures, front end of the line (FEOL) metallization structures, logic metallization structures, and memory structures, such as 3D NAND and DRAM. In some embodiments the methods are used to deposit molybdenum-containing films having thicknesses ranging from between about 0.5 nm to about 4 nm, and can be used for depositing molybdenum metal in a variety of recessed features, such as features with widths of between about 1 nm and about 25 nm, depths of between about 30 nm and about 200 nm or more and a variety of aspect ratios including high aspect ratios of at least 10:1, such as 30:1.
“Metals” as used herein, refer to materials that consist essentially of metals in zero oxidation state. Other elements (e.g., C, N, or O) can be present in metals in small quantities (e.g., with a total content of less than about 15 atomic %, or less than about 10%, where hydrogen is not included in the calculation). It is noted that the use of alkyl halides does not result in incorporation of carbon into the resulting metal films, and metal films with less than 3% atomic of carbon can be obtained by provided methods. Example of metal films that can be obtained by provided methods include 97% pure molybdenum, 97% pure cobalt, 97% pure tungsten, and 97% pure ruthenium, where % refers to weight %.
The term “semiconductor substrate” as used herein refers to a substrate at any stage of semiconductor device fabrication containing a semiconductor material anywhere within its structure. It is understood that the semiconductor material in the semiconductor substrate does not need to be exposed. Semiconductor wafers having a plurality of layers of other materials (e.g., dielectrics) covering the semiconductor material, are examples of semiconductor substrates. The following detailed description assumes the disclosed implementations are implemented on a semiconductor wafer, such as on a 200 mm, 300 mm, or 450 mm semiconductor wafer. However, the disclosed implementations are not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the disclosed implementations include various articles such as printed circuit boards and the like.
As used herein, the term “about” means+/−10% of any recited value, unless otherwise specified. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges.
As used herein, the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus.
As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean ‘at least one of A, at least one of B, and at least one of C.
“A” as used herein should be construed as “one or more”. For example, “a surface of the substrate” should be construed as “one or more surfaces of the substrate”, and may include a recessed feature sidewall surface, a field surface, a recessed feature bottom surface, and combinations thereof.
A “reducing agent”, as used herein, refers to a reactant that loses one or more electrons in a reaction.
“Heteroleptic complexes”, as used herein, refer to compounds that contain at least two different ligands attached to a metal center.
“Homoleptic complexes”, as used herein, refer to compounds that contain all identical ligands attached to a metal center.
The term “inhibitor-free deposition cycle” refers to sequential exposure of the substrate to a metal precursor and a reducing agent without a deposition inhibitor.
The term “inhibitor-assisted deposition cycle” refers to exposure of the semiconductor substrate to a deposition inhibitor, a metal precursor, and a reducing agent, wherein at least some of these exposures are performed sequentially.
The term “acyl,” or “alkanoyl,” as used interchangeably herein, represents groups of 1, 2, 3, 4, 5, 6, 7, 8 or more carbon atoms of a straight, branched, cyclic configuration, saturated, unsaturated and aromatic, and combinations thereof, or hydrogen, attached to the parent molecular group through a carbonyl group, as defined herein. This group is exemplified by formyl (—C(O)H), acetyl (Ac or —C(O)Me), propionyl, isobutyryl, butanoyl, and the like. In some embodiments, the acyl or alkanoyl group is —C(O)—R, in which R is hydrogen, an aliphatic group, or an aromatic group, as defined herein.
By “alkanoyloxy” is meant an alkanoyl group, as defined herein, attached to the parent molecular group through an oxy group, as defined herein. This group is exemplified by acetoxy (—OAc or —OC(O)Me). In some embodiments, the alkanoyloxy group is —OC(O)—R, in which R is hydrogen, an aliphatic group, or an aromatic group, as defined herein.
By “aliphatic” is meant a hydrocarbon group having at least one carbon atom to 50 carbon atoms (C1-50), such as one to 25 carbon atoms (C1-25), or one to ten carbon atoms (C1-10), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well. An aliphatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the aliphatic group can be substituted with one or more substitution groups, as described herein for alkyl.
By “aliphatic-carbonyl” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through a carbonyl group (—C(O)—). In some embodiments, the aliphatic-carbonyl group is —C(O)—R, in which R is an optionally substituted aliphatic group, as defined herein.
By “aliphatic-carbonyloxy” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through a carbonyloxy group (—OC(O)—). In some embodiments, the aliphatic-carbonyloxy group is —OC(O)—R, in which R is an optionally substituted aliphatic group, as defined herein.
By “aliphatic-oxy” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through an oxy group (—C(O)—). In some embodiments, the aliphatic-oxy group is —O—R, in which R is an optionally substituted aliphatic group, as defined herein.
By “aliphatic-oxycarbonyl” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through an oxycarbonyl group (—C(O)O—). In some embodiments, the aliphatic-oxycarbonyl group is —C(O)O—R, in which R is an optionally substituted aliphatic group, as defined herein.
By “alkyl-aryl,” “alkenyl-aryl,” and “alkynyl-aryl” is meant an alkyl, alkenyl, or alkynyl group, respectively and as defined herein, that is or can be coupled (or attached) to the parent molecular group through an aryl group, as defined herein. The alkyl-aryl, alkenyl-aryl, and/or alkynyl-aryl group can be substituted or unsubstituted. For example, the alkyl-aryl, alkenyl-aryl, and/or alkynyl-aryl group can be substituted with one or more substitution groups, as described herein for alkyl and/or aryl. Exemplary unsubstituted alkyl-aryl groups are of from 7 to 16 carbons (C7-16 alkyl-aryl), as well as those having an alkyl group with 1 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C1-6 alkyl-C4-18 aryl). Exemplary unsubstituted alkenyl-aryl groups are of from 7 to 16 carbons (C7-16 alkenyl-aryl), as well as those having an alkenyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C2-6 alkenyl-C4-18 aryl). Exemplary unsubstituted alkynyl-aryl groups are of from 7 to 16 carbons (C7-16 alkynyl-aryl), as well as those having an alkynyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C2-6 alkynyl-C4-18 aryl). In some embodiments, the alkyl-aryl group is -L-R, in which L is an aryl group or an arylene group, as defined herein, and R is an alkyl group, as defined herein. In some embodiments, the alkenyl-aryl group is -L-R, in which L is an aryl group or an arylene group, as defined herein, and R is an alkenyl group, as defined herein. In some embodiments, the alkynyl-aryl group is -L-R, in which L is an aryl group or an arylene group, as defined herein, and R is an alkynyl group, as defined herein.
By “alkenyl” is meant an unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C2-50), such as two to 25 carbon atoms (C2-25), or two to ten carbon atoms (C2-10), and at least one carbon-carbon double bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkene. An alkenyl group can be branched, straight-chain, cyclic (e.g., cycloalkenyl), cis, or trans (e.g., E or Z). An exemplary alkenyl includes an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. The alkenyl group can also be substituted or unsubstituted. For example, the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl. Non-limiting alkenyl groups include allyl (All), vinyl (Vi), 1-butenyl, 2-butenyl, and the like.
By “alkoxy” is meant —OR, where R is an optionally substituted aliphatic group, as described herein. Exemplary alkoxy groups include, but are not limited to, methoxy, ethoxy, n-propoxy, isopropoxy, n-butoxy, t-butoxy, sec-butoxy, n-pentoxy, trihaloalkoxy, such as trifluoromethoxy, etc. The alkoxy group can be substituted or unsubstituted. For example, the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxy groups include C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, or C1-24 alkoxy groups.
By “alkoxyalkyl” is meant an alkyl group, as defined herein, which is substituted with an alkoxy group, as defined herein. Exemplary unsubstituted alkoxyalkyl groups include between 2 to 12 carbons (C2-12 alkoxyalkyl), as well as those having an alkyl group with 1 to 6 carbons and an alkoxy group with 1 to 6 carbons (i.e., C1-6 alkoxy-C1-6 alkyl). In some embodiments, the alkoxyalkyl group is -L-O—R, in which each of L and R is, independently, an alkyl group, as defined herein.
By “alkoxycarbonyl” is meant —C(O)—OR, where R is an optionally substituted aliphatic group, as described herein. In particular embodiments, the alkoxycarbonyl group is —C(O)—OAk, in which Ak is an alkyl group, as defined herein. The alkoxycarbonyl group can be substituted or unsubstituted. For example, the alkoxycarbonyl group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxycarbonyl groups include C2-3, C2-6, C2-7, C2-12, C2-16, C2-18, C2-20, or C2-24 alkoxycarbonyl groups.
By “alkyl” is meant a saturated monovalent hydrocarbon having at least one carbon atom to 50 carbon atoms (C1-50), such as one to 25 carbon atoms (C1-25), or one to ten carbon atoms (C1-10), wherein the saturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent compound (e.g., alkane). An alkyl group can be branched, straight-chain, or cyclic (e.g., cycloalkyl). An exemplary alkyl includes a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl (Me), ethyl (Et), n-propyl (nPr), iso-propyl (iPr), n-butyl (nBu), iso-butyl (iBu), sec-butyl (sBu), tert-butyl (tBu), pentyl (Pe), n-pentyl (nPe), isopentyl (iPe), s-pentyl (sPe), neopentyl (neoPe), tert-pentyl (tPe), hexyl (Hx), heptyl (Hp), octyl (Oc), nonyl (Nn), decyl (De), dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like. The alkyl group can also be substituted or unsubstituted. The alkyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. For example, the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) C1-6 alkoxy (e.g., —O—R, in which R is C1-6 alkyl); (2) C1-6 alkylsulfinyl (e.g., —S(O)—R, in which R is C1-6 alkyl); (3) C1-6 alkylsulfonyl (e.g., —SO2—R, in which R is C1-6 alkyl); (4) amino (e.g., —NR1R2, where each of R1 and R2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein); (5) aryl; (6) arylalkoxy (e.g., —O-L-R, in which L is alkyl and R is aryl); (7) aryloyl (e.g., —C(O)—R, in which R is aryl); (8) azido (e.g., —N3); (9) cyano (e.g., —CN); (10) aldehyde (e.g., —C(O)H); (11) C3-8 cycloalkyl; (12) halo; (13) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (14) heterocyclyloxy (e.g., —O—R, in which R is heterocyclyl, as defined herein); (15) heterocyclyloyl (e.g., —C(O)—R, in which R is heterocyclyl, as defined herein); (16) hydroxyl (e.g., —OH); (17) N-protected amino; (18) nitro (e.g., —NO2); (19) oxo (e.g., ═O); (20) C1-6 thioalkyl (e.g., —S—R, in which R is alkyl); (21) thiol (e.g., —SH); (22) —CO2R′, where R1 is selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (23) —C(O)NR1R2, where each of R1 and R2 is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-8 aryl); (24) —SO2R′, where R1 is selected from the group consisting of (a) C1-6 alkyl, (b) C4-18 aryl, and (c) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (25) —SO2NR1R2, where each of R1 and R2 is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); and (26) —NR1R2, where each of R1 and R2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C1-6 alkyl, (d) C2-6 alkenyl, (e) C2-6 alkynyl, (f) C4-18 aryl, (g) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl), (h) C3-8 cycloalkyl, and (i) C3-8 cycloalkyl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group. The alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e.g., one or more halo or alkoxy). In some embodiments, the unsubstituted alkyl group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, or C1-24 alkyl group.
“Alkyl halide” as used herein refers to a compound that includes one or more carbon-halogen bonds. Alkyl halides, as used herein, can be saturated compounds without double or triple carbon-carbon bonds, or unsaturated compounds (e.g., may include double and triple carbon-carbon bonds).
By “alkylene,” “alkenylene,” or “alkynylene” is meant a multivalent (e.g., bivalent) form of an alkyl, alkenyl, or alkynyl group, respectively, as described herein. Exemplary alkylene groups include methylene, ethylene, propylene, butylene, etc. In some embodiments, the alkylene group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, or C2-24 alkylene group. In other embodiments, the alkylene group is a C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, or C2-24 alkenylene or alkynylene group. The alkylene, alkenylene, or alkynylene group can be branched or unbranched. The alkylene, alkenylene, or alkynylene group can also be substituted or unsubstituted. For example, the alkylene, alkenylene, or alkynylene group can be substituted with one or more substitution groups, as described herein for alkyl.
By “alkylsulfinyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through an —S(O)— group. In some embodiments, the unsubstituted alkylsulfinyl group is a C1-6 or C1-12 alkylsulfinyl group. In other embodiments, the alkylsulfinyl group is —S(O)—R, in which R is an alkyl group, as defined herein.
By “alkylsulfinylalkyl” is meant an alkyl group, as defined herein, substituted by an alkylsulfinyl group. In some embodiments, the unsubstituted alkylsulfinylalkyl group is a C2-12 or C2-24 alkylsulfinylalkyl group (e.g., C1-6 alkylsulfinyl-C1-6 alkyl or C1-12 alkylsulfinyl-C1-12 alkyl). In other embodiments, the alkylsulfinylalkyl group is -L-S(O)—R, in which each of L and R is, independently, an alkyl group, as defined herein.
By “alkylsulfonyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through an —SO2— group. In some embodiments, the unsubstituted alkylsulfonyl group is a C1-6 or C1-12 alkylsulfonyl group. In other embodiments, the alkylsulfonyl group is —SO2—R, where R is an optionally substituted alkyl (e.g., as described herein, including optionally substituted C1-12 alkyl, haloalkyl, or perfluoroalkyl).
By “alkylsulfonylalkyl” is meant an alkyl group, as defined herein, substituted by an alkylsulfonyl group. In some embodiments, the unsubstituted alkylsulfonylalkyl group is a C2-12 or C2-24 alkylsulfonylalkyl group (e.g., C1-6 alkylsulfonyl-C1-6 alkyl or C1-12 alkylsulfonyl-C1-12 alkyl). In other embodiments, the alkylsulfonylalkyl group is -L-SO2—R, in which each of L and R is, independently, an alkyl group, as defined herein.
By “alkynyl” is meant an unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C2-50), such as two to 25 carbon atoms (C2-25), or two to ten carbon atoms (C2-10), and at least one carbon-carbon triple bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkyne. An alkynyl group can be branched, straight-chain, or cyclic (e.g., cycloalkynyl). An exemplary alkynyl includes an optionally substituted C2-24 alkyl group having one or more triple bonds. The alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like. The alkynyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. The alkynyl group can also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl.
By “ambient temperature” is meant a temperature ranging from 16° C. to 26° C., such as from 19° C. to 25° C. or from 20° C. to 25° C.
By “amide” is mean —C(O)NR1R2 or —NHCOR1, where each of R1 and R2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, aromatic, as defined herein, or any combination thereof, or where R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein.
By “amino” is meant —NR1R2, where each of R1 and R2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In particular embodiments, each of R1 and R2 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, optionally substituted silyl, or optionally substituted silyloxy. In particular embodiments, R1 and R2 can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl.
By “aminoalkyl” is meant an alkyl group, as defined herein, substituted by an amino group, as defined herein. In some embodiments, the aminoalkyl group is -L-NR1R2, in which L is an alkyl group, as defined herein, and each of R1 and R2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, or aromatic, as defined herein, or any combination thereof; or R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In other embodiments, the aminoalkyl group is -L-C(NR1R2)(R3)—R4, in which L is a covalent bond or an alkyl group, as defined herein; each of R1 and R2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, or aromatic, as defined herein, or any combination thereof; or R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein; and each of R3 and R4 is, independently, H or alkyl, as defined herein.
By “aminooxy” is meant an oxy group, as defined herein, substituted by an amino group, as defined herein. In some embodiments, the aminooxy group is —O—NR1R2, in which each of R1 and R2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In particular embodiments, each of R1 and R2 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, optionally substituted silyl, or optionally substituted silyloxy.
By “aromatic” is meant a cyclic, conjugated group or moiety of, unless specified otherwise, from 5 to 15 ring atoms having a single ring (e.g., phenyl) or multiple condensed rings in which at least one ring is aromatic (e.g., naphthyl, indolyl, or pyrazolopyridinyl); that is, at least one ring, and optionally multiple condensed rings, have a continuous, delocalized π-electron system. Typically, the number of out of plane π-electrons corresponds to the Huckel rule (4n+2). The point of attachment to the parent structure typically is through an aromatic portion of the condensed ring system. An aromatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the aromatic group can be substituted with one or more substitution groups, as described herein for alkyl and/or aryl.
By “aromatic-carbonyl” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through a carbonyl group (—C(O)—). In some embodiments, the aromatic-carbonyl group is —C(O)—R, in which R is an optionally substituted aromatic group, as defined herein.
By “aromatic-carbonyloxy” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through a carbonyloxy group (—OC(O)—). In some embodiments, the aromatic-carbonyloxy group is —OC(O)—R, in which R is an optionally substituted aromatic group, as defined herein.
By “aromatic-oxy” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through an oxy group (—O—). In some embodiments, the aromatic-oxy group is —O—R, in which R is an optionally substituted aromatic group, as defined herein.
By “aromatic-oxycarbonyl” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through an oxycarbonyl group (—C(O)O—). In some embodiments, the aromatic-carbonyl group is —C(O)O—R, in which R is an optionally substituted aromatic group, as defined herein.
By “aryl” is meant an aromatic carbocyclic group comprising at least five carbon atoms to 15 carbon atoms (C5-15), such as five to ten carbon atoms (C5-10), having a single ring or multiple condensed rings, which condensed rings can or may not be aromatic provided that the point of attachment to a remaining position of the compounds disclosed herein is through an atom of the aromatic carbocyclic group. Aryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. Exemplary aryl groups include, but are not limited to, benzyl, naphthalene, phenyl, biphenyl, phenoxybenzene, and the like. The term aryl also includes heteroaryl, which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom. The aryl group can be substituted or unsubstituted. The aryl group can be substituted with one, two, three, four, or five substituents independently selected from the group consisting of: (1) C1-6 alkanoyl (e.g., —C(O)—R, in which R is C1-6 alkyl); (2) C1-6 alkyl; (3) C1-6 alkoxy (e.g., —O—R, in which R is C1-6 alkyl); (4) C1-6 alkoxy-C1-6 alkyl (e.g., -L-O—R, in which each of L and R is, independently, C1-6 alkyl); (5) C1-6 alkylsulfinyl (e.g., —S(O)—R, in which R is C1-6 alkyl); (6) C1-6 alkylsulfinyl-C1-6 alkyl (e.g., -L-S(O)—R, in which each of L and R is, independently, C1-6 alkyl); (7) C1-6 alkylsulfonyl (e.g., —SO2—R, in which R is C1-6 alkyl); (8) C1-6 alkylsulfonyl-C1-6 alkyl (e.g., -L-SO2—R, in which each of L and R is, independently, C1-6 alkyl); (9) aryl; (10) amino (e.g., —NR1R2, where each of R1 and R2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof; or R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein); (11) C1-6 aminoalkyl (e.g., -L1-NR1R2 or -L2-C(NR1R2)(R3)—R4, in which L1 is C1-6 alkyl; L2 is a covalent bond or C1-6 alkyl; each of R1 and R2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof; or R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein; and each of R3 and R4 is, independently, H or C1-6 alkyl); (12) heteroaryl; (13) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (14) aryloyl (e.g., —C(O)—R, in which R is aryl); (15) azido (e.g., —N3); (16) cyano (e.g., —CN); (17) C1-6 azidoalkyl (e.g., -L-N3, in which L is C1-6 alkyl); (18) aldehyde (e.g., —C(O)H); (19) aldehyde-C1-6 alkyl (e.g., -L-C(O)H, in which L is C1-6 alkyl); (20) C3-8 cycloalkyl; (21) C3-8 cycloalkyl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C3-8 cycloalkyl); (22) halo; (23) C1-6 haloalkyl (e.g., -L1-X or -L2-C(X)(R′)—R2, in which L1 is C1-6 alkyl; L2 is a covalent bond or C1-6 alkyl; X is fluoro, bromo, chloro, or iodo; and each of R1 and R2 is, independently, H or C1-6 alkyl); (24) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (25) heterocyclyloxy (e.g., —O—R, in which R is heterocyclyl, as defined herein); (26) heterocyclyloyl (e.g., —C(O)—R, in which R is heterocyclyl, as defined herein); (27) hydroxyl (—OH); (28) C1-6 hydroxyalkyl (e.g., -L1-OH or -L2-C(OH)(R1)—R2, in which L1 is C1-6 alkyl; L2 is a covalent bond or alkyl; and each of R1 and R2 is, independently, H or C1-6 alkyl, as defined herein); (29) nitro; (30) C1-6 nitroalkyl (e.g., -L1-NO or -L2-C(NO)(R′)—R2, in which L1 is C1-6 alkyl; L2 is a covalent bond or alkyl; and each of R1 and R2 is, independently, H or C1-6 alkyl, as defined herein); (31) N-protected amino; (32) N-protected amino-C1-6 alkyl; (33) oxo (e.g., ═O); (34) C1-6 thioalkyl (e.g., —S—R, in which R is C1-6 alkyl); (35) thio-C1-6 alkoxy-C1-6 alkyl (e.g., -L-S—R, in which each of L and R is, independently, C1-6 alkyl); (36) —(CH2)rCO2R1, where r is an integer of from zero to four, and R1 is selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (37) —(CH2)rCONR1R2, where r is an integer of from zero to four and where each R1 and R2 is independently selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (38) —(CH2)rSO2R1, where r is an integer of from zero to four and where R1 is selected from the group consisting of (a) C1-6 alkyl, (b) C4-18 aryl, and (c) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (39) —(CH2)rSO2NR1R2, where r is an integer of from zero to four and where each of R1 and R2 is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl); (40) —(CH2)rNR1R2, where r is an integer of from zero to four and where each of R1 and R2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C1-6 alkyl, (d) C2-6 alkenyl, (e) C2-6 alkynyl, (f) C4-18 aryl, (g) C4-18 aryl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C4-18 aryl), (h) C3-8 cycloalkyl, and (i) C3-8 cycloalkyl-C1-6 alkyl (e.g., -L-R, in which L is C1-6 alkyl and R is C3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group; (41) thiol (e.g., —SH); (42) perfluoroalkyl (e.g., —(CF2)nCF3, in which n is an integer from 0 to 10); (43) perfluoroalkoxy (e.g., —O—(CF2)nCF3, in which n is an integer from 0 to 10); (44) aryloxy (e.g., —O—R, in which R is aryl); (45) cycloalkoxy (e.g., —O—R, in which R is cycloalkyl); (46) cycloalkylalkoxy (e.g., —O-L-R, in which L is alkyl and R is cycloalkyl); and (47) arylalkoxy (e.g., —O-L-R, in which L is alkyl and R is aryl). In particular embodiments, an unsubstituted aryl group is a C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, or C6-10 aryl group. By “aryl-alkyl,” “aryl-alkenyl,” and “aryl-alkynyl” is meant an aryl group, as defined herein, that is or can be coupled (or attached) to the parent molecular group through an alkyl, alkenyl, or alkynyl group, respectively, as defined herein. The aryl-alkyl, aryl-alkenyl, and/or aryl-alkynyl group can be substituted or unsubstituted. For example, the aryl-alkyl, aryl-alkenyl, and/or aryl-alkynyl group can be substituted with one or more substitution groups, as described herein for aryl and/or alkyl. Exemplary unsubstituted aryl-alkyl groups are of from 7 to 16 carbons (C7-16 aryl-alkyl), as well as those having an aryl group with 4 to 18 carbons and an alkyl group with 1 to 6 carbons (i.e., C4-8 aryl-C1-6 alkyl). Exemplary unsubstituted aryl-alkenyl groups are of from 7 to 16 carbons (C7-16 aryl-alkenyl), as well as those having an aryl group with 4 to 18 carbons and an alkenyl group with 2 to 6 carbons (i.e., C4-18 aryl-C2-6 alkenyl). Exemplary unsubstituted aryl-alkynyl groups are of from 7 to 16 carbons (C7-16 aryl-alkynyl), as well as those having an aryl group with 4 to 18 carbons and an alkynyl group with 2 to 6 carbons (i.e., C4-18 aryl-C2-6 alkynyl). In some embodiments, the aryl-alkyl group is -L-R, in which L is an alkyl group or an alkylene group, as defined herein, and R is an aryl group, as defined herein.
In some embodiments, the aryl-alkenyl group is -L-R, in which L is an alkenyl group or an alkenylene group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the aryl-alkynyl group is -L-R, in which L is an alkynyl group or an alkynylene group, as defined herein, and R is an aryl group, as defined herein.
By “arylene” is meant a multivalent (e.g., bivalent) form of an aryl group, as described herein. Exemplary arylene groups include phenylene, naphthylene, biphenylene, triphenylene, diphenyl ether, acenaphthenylene, anthrylene, or phenanthrylene. In some embodiments, the arylene group is a C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, or C6-10 arylene group. The arylene group can be branched or unbranched. The arylene group can also be substituted or unsubstituted. For example, the arylene group can be substituted with one or more substitution groups, as described herein for aryl.
By “arylalkoxy” is meant an aryl-alkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the arylalkoxy group is —O-L-R, in which L is an alkyl group, as defined herein, and R is an aryl group, as defined herein.
By “aryloxy” is meant —OR, where R is an optionally substituted aryl group, as described herein. In some embodiments, an unsubstituted aryloxy group is a C4-18 or C6-18 aryloxy group. In other embodiments, R is an aryl group that is optionally substituted with alkyl, alkanoyl, amino, hydroxyl, and the like.
By “aryloxycarbonyl” is meant an aryloxy group, as defined herein, that is attached to the parent molecular group through a carbonyl group. In some embodiments, an unsubstituted aryloxycarbonyl group is a C5-19 aryloxycarbonyl group. In other embodiments, the aryloxycarbonyl group is —C(O)O—R, in which R is an aryl group, as defined herein.
By “aryloyl” is meant an aryl group that is attached to the parent molecular group through a carbonyl group. In some embodiments, an unsubstituted aryloyl group is a C7-11 aryloyl or C5-19 aryloyl group. In other embodiments, the aryloyl group is —C(O)—R, in which R is an aryl group, as defined herein.
By “aryloyloxy” is meant an aryloyl group, as defined herein, that is attached to the parent molecular group through an oxy group. In some embodiments, an unsubstituted aryloyloxy group is a C5-19 aryloyloxy group. In other embodiments, the aryloyloxy group is —OC(O)—R, in which R is an aryl group, as defined herein.
By “azido” is meant an —N3 group.
By “azidoalkyl” is meant an azido group attached to the parent molecular group through an alkyl group, as defined herein. In some embodiments, the azidoalkyl group is -L-N3, in which L is an alkyl group, as defined herein.
By “azo” is meant an —N═N— group.
By “carbamoyl” is meant an amino group attached to the parent molecular group through a carbonyl group, as defined herein. In some embodiments, the carbamoyl is —C(O)NR1R2 group, where each of R1 and R2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein.
By “carbamoyloxy” is meant a carbamoyl group, as defined herein, attached to the parent molecular group through n oxy group, as defined herein. In some embodiments, the carbamoyl is —OC(O)NR1R2 group, where each of R1 and R2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where R1 and R2, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein.
By “carbonimidoyl” is meant a —C(NR)— group. In some embodiments, R is selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl, optionally substituted silyloxy, as defined herein, or any combination thereof.
By “carbonyl” is meant a —C(O)— group, which can also be represented as >C═O.
By “carboxyl” is meant a —CO2H group or an anion thereof.
By “catalyst” is meant a compound, usually present in small amounts relative to reactants, capable of catalyzing a synthetic reaction, as would be readily understood by a person of ordinary skill in the art. In some embodiments, catalysts may include transition metal coordination complex.
By “cyanato” is meant a —OCN group.
By “cyano” is meant a —CN group.
By “cycloaliphatic” is meant an aliphatic group, as defined herein, that is cyclic.
By “cycloalkoxy” is meant a cycloalkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the cycloalkoxy group is —O—R, in which R is a cycloalkyl group, as defined herein.
By “cycloalkylalkoxy” is meant a —O-L-R group, in which L is an alkyl group or an alkylene group, as defined herein, and R is a cycloalkyl group, as defined herein.
By “cycloalkyl” is meant a monovalent saturated or unsaturated non-aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1.heptyl], and the like. The cycloalkyl group can also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl. Further, cycloalkyl may include one or more double bonds and/or triple bonds.
By “cycloheteroaliphatic” is meant a heteroaliphatic group, as defined herein, that is cyclic.
By “disilanyl” is meant a group containing an Si—Si bond. In some embodiments, the disilanyl group is a —SiRS1RS2—SiRS3RS4RS5 or —SiRS1RS2—SiRS3RS4-group, in which each of RS1, RS2, RS3, RS4, and RS5 is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, or optionally substituted amino.
By “disulfide” is meant —SSR, where R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof.
By “electron-donating group” is meant a functional group capable of donating at least a portion of its electron density into the ring to which it is directly attached, such as by resonance.
By “electron-withdrawing group” is meant a functional group capable of accepting electron density from the ring to which it is directly attached, such as by inductive electron withdrawal.
By “halo” is meant F, Cl, Br, or I.
By “chalcogen” is meant, O, S, Se or Te.
By “haloaliphatic” is meant an aliphatic group, as defined herein, in which one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo.
By “haloalkyl” is meant an alkyl group, as defined herein, where one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo. In an independent embodiment, haloalkyl can be a —CX3 group, wherein each X independently can be selected from fluoro, bromo, chloro, or iodo. In some embodiments, the haloalkyl group is -L-X, in which L is an alkyl group, as defined herein, and X is fluoro, bromo, chloro, or iodo. In other embodiments, the haloalkyl group is -L-C(X)(R1)—R2, in which L is a covalent bond or an alkyl group, as defined herein; X is fluoro, bromo, chloro, or iodo; and each of R1 and R2 is, independently, H or alkyl, as defined herein.
By “haloheteroaliphatic” is meant a heteroaliphatic, as defined herein, in which one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo.
By “heteroaliphatic” is meant an aliphatic group, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group. A heteroaliphatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the heteroaliphatic group can be substituted with one or more substitution groups, as described herein for alkyl.
By “heteroaliphatic-carbonyl” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through a carbonyl group (—C(O)—). In some embodiments, the heteroaliphatic-carbonyl group is —C(O)—R, in which R is an optionally substituted heteroaliphatic group, as defined herein.
By “heteroaliphatic-carbonyloxy” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through a carbonyloxy group (—OC(O)—). In some embodiments, the heteroaliphatic-carbonyloxy group is —OC(O)—R, in which R is an optionally substituted heteroaliphatic group, as defined herein.
By “heteroaliphatic-oxy” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through an oxy group (—C(O)—). In some embodiments, the heteroaliphatic-oxy group is —O—R, in which R is an optionally substituted heteroaliphatic group, as defined herein.
By “heteroaliphatic-oxycarbonyl” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through an oxycarbonyl group (—C(O)O—). In some embodiments, the heteroaliphatic-oxycarbonyl group is —C(O)O—R, in which R is an optionally substituted heteroaliphatic group, as defined herein.
By “heteroalkyl,” “heteroalkenyl,” and “heteroalkynyl” is meant an alkyl, alkenyl, or alkynyl group (which can be branched, straight-chain, or cyclic), respectively, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group.
By “heteroalkylene,” “heteroalkenylene,” and “heteroalkynylene” is meant a multivalent (e.g., bivalent) form of a heteroalkyl, heteroalkenyl, or heteroalkynyl group, respectively, as described herein.
By “heteroaromatic” is meant an aromatic group, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group. A heteroaromatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the heteroaromatic group can be substituted with one or more substitution groups, as described herein for alkyl and/or aryl.
By “heteroaromatic-carbonyl” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through a carbonyl group (—C(O)—). In some embodiments, the heteroaromatic-carbonyl group is —C(O)—R, in which R is an optionally substituted heteroaromatic group, as defined herein.
By “heteroaromatic-carbonyloxy” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through a carbonyloxy group (—OC(O)—). In some embodiments, the heteroaromatic-carbonyloxy group is —OC(O)—R, in which R is an optionally substituted heteroaromatic group, as defined herein.
By “heteroaromatic-oxy” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through an oxy group (—O—). In some embodiments, the heteroaromatic-oxy group is —O—R, in which R is an optionally substituted heteroaromatic group, as defined herein.
By “heteroaromatic-oxycarbonyl” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through an oxycarbonyl group (—C(O)O—). In some embodiments, the heteroaromatic-carbonyl group is —C(O)O—R, in which R is an optionally substituted heteroaromatic group, as defined herein.
By “heteroaryl” is meant an aryl group including at least one heteroatom to six heteroatoms, such as one to four heteroatoms, which can be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the ring. Such heteroaryl groups can have a single ring or multiple condensed rings, where the condensed rings may or may not be aromatic and/or contain a heteroatom, provided that the point of attachment is through an atom of the aromatic heteroaryl group. Heteroaryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. An exemplary heteroaryl includes a subset of heterocyclyl groups, as defined herein, which are aromatic, i.e., they contain 4n+2 pi electrons within the mono- or multicyclic ring system.
By “heteroarylene” is meant a multivalent (e.g., bivalent) form of a heteroaryl group, as described herein.
By “heteroatom” is meant an atom other than carbon, such as oxygen, nitrogen, sulfur, silicon, boron, selenium, or phosphorous. In particular disclosed embodiments, such as when valency constraints do not permit, a heteroatom does not include a halogen atom.
By “heterocyclyl” is meant a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, or halo). The 5-membered ring has zero to two double bonds and the 6- and 7-membered rings have zero to three double bonds. The term “heterocyclyl” also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like. Heterocyclics include thiiranyl, thietanyl, tetrahydrothienyl, thianyl, thiepanyl, aziridinyl, azetidinyl, pyrrolidinyl, piperidinyl, azepanyl, pyrrolyl, pyrrolinyl, pyrazolyl, pyrazolinyl, pyrazolidinyl, imidazolyl, imidazolinyl, imidazolidinyl, pyridyl, homopiperidinyl, pyrazinyl, piperazinyl, pyrimidinyl, pyridazinyl, oxazolyl, oxazolidinyl, oxazolidonyl, isoxazolyl, isoxazolidiniyl, morpholinyl, thiomorpholinyl, thiazolyl, thiazolidinyl, isothiazolyl, isothiazolidinyl, indolyl, quinolinyl, isoquinolinyl, benzimidazolyl, benzothiazolyl, benzoxazolyl, furyl, thienyl, thiazolidinyl, isothiazolyl, isoindazoyl, triazolyl, tetrazolyl, oxadiazolyl, uricyl, thiadiazolyl, pyrimidyl, tetrahydrofuranyl, dihydrofuranyl, dihydrothienyl, dihydroindolyl, tetrahydroquinolyl, tetrahydroisoquinolyl, pyranyl, dihydropyranyl, tetrahydropyranyl, dithiazolyl, dioxanyl, dioxinyl, dithianyl, trithianyl, oxazinyl, thiazinyl, oxothiolanyl, triazinyl, benzofuranyl, benzothienyl, and the like.
By “heterocyclyloxy” is meant a heterocyclyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the heterocyclyloxy group is —O—R, in which R is a heterocyclyl group, as defined herein.
By “heterocyclyloyl” is meant a heterocyclyl group, as defined herein, attached to the parent molecular group through a carbonyl group. In some embodiments, the heterocyclyloyl group is —C(O)—R, in which R is a heterocyclyl group, as defined herein.
By “hydrazino” is meant —NR1—NR2R3, where each of R1, R2, and R3 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where a combination of R1 and R2 or a combination of R2 and R3, taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In some embodiments, each of R1, R2, or R3 is, independently, H, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl. In particular embodiments, R2 and R3 can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl.
By “hydroxyl” is meant —OH.
By “hydroxyalkyl” is meant an alkyl group, as defined herein, substituted by one to three hydroxyl groups, with the proviso that no more than one hydroxyl group may be attached to a single carbon atom of the alkyl group and is exemplified by hydroxymethyl, dihydroxypropyl, and the like. In some embodiments, the hydroxyalkyl group is -L-OH, in which L is an alkyl group, as defined herein. In other embodiments, the hydroxyalkyl group is -L-C(OH)(R′)—R2, in which L is a covalent bond or an alkyl group, as defined herein, and each of R1 and R2 is, independently, H or alkyl, as defined herein.
By “imidoyl” is meant a moiety including a carbonimidoyl group. In some embodiments, the imidoyl group is C(NR1)R2, in which each of R1 and R2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl, optionally substituted silyloxy, as defined herein, or any combination thereof. In other embodiments, the imidoyl group is —C(NR1)H, —C(NR1)RAk, or —C(NRN1)RAr, in which R1 is hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl, or optionally substituted silyloxy; RAk is an optionally substituted alkyl or an optionally substituted aliphatic; and RAr is an optionally substituted aryl or an optionally substituted aromatic.
By “imino” is meant a —NR— group. In some embodiments, R is selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic. In particular embodiments, R is H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl.
By “isocyanato” is meant a —NCO group.
By “isocyano” is meant a —NC group.
By “ketone” is meant —C(O)R or a compound including such a group, where R is selected from aliphatic, heteroaliphatic, aromatic, as defined herein, or any combination thereof. An example of a ketone can include R1C(O)R, in which each of R and R1 is, independently, selected from aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, as defined herein, or any combination thereof.
By “nitro” is meant an —NO2 group.
By “nitroalkyl” is meant an alkyl group, as defined herein, substituted by one to three nitro groups. In some embodiments, the nitroalkyl group is -L-NO, in which L is an alkyl group, as defined herein. In other embodiments, the nitroalkyl group is -L-C(NO)(R1)—R2, in which L is a covalent bond or an alkyl group, as defined herein, and each of R1 and R2 is, independently, H or alkyl, as defined herein.
By “oxo” is meant an ═O group.
By “oxy” is meant —O—.
By “perfluoroalkyl” is meant an alkyl group, as defined herein, having each hydrogen atom substituted with a fluorine atom. Exemplary perfluoroalkyl groups include trifluoromethyl, pentafluoroethyl, etc. In some embodiments, the perfluoroalkyl group is —(CF2)nCF3, in which n is an integer from 0 to 10.
By “perfluoroalkoxy” is meant an alkoxy group, as defined herein, having each hydrogen atom substituted with a fluorine atom. In some embodiments, the perfluoroalkoxy group is —O—R, in which R is a perfluoroalkyl group, as defined herein.
By “salt” is meant an ionic form of a compound or structure (e.g., any formulas, compounds, or compositions described herein), which includes a cation or anion compound to form an electrically neutral compound or structure. The salts can be prepared in situ during the final isolation and purification of the compounds of the invention or separately by reacting the free base group with a suitable organic acid (thereby producing an anionic salt) or by reacting the acid group with a suitable metal or organic salt (thereby producing a cationic salt).
Representative anionic salts include acetate, adipate, alginate, ascorbate, aspartate, benzenesulfonate, benzoate, bicarbonate, bisulfate, bitartrate, borate, bromide, butyrate, camphorate, camphorsulfonate, chloride, citrate, cyclopentanepropionate, digluconate, dihydrochloride, diphosphate, dodecylsulfate, edetate, ethanesulfonate, fumarate, glucoheptonate, gluconate, glutamate, glycerophosphate, hemisulfate, heptonate, hexanoate, hydrobromide, hydrochloride, hydroiodide, hydroxyethanesulfonate, hydroxynaphthoate, iodide, lactate, lactobionate, laurate, lauryl sulfate, malate, maleate, malonate, mandelate, mesylate, methanesulfonate, methylbromide, methylnitrate, methylsulfate, mucate, 2-naphthalenesulfonate, nicotinate, nitrate, oleate, oxalate, palmitate, pamoate, pectinate, persulfate, 3-phenylpropionate, phosphate, picrate, pivalate, polygalacturonate, propionate, salicylate, stearate, subacetate, succinate, sulfate, tannate, tartrate, theophyllinate, thiocyanate, triethiodide, toluenesulfonate, undecanoate, valerate salts, and the like. Representative cationic salts include metal salts, such as alkali or alkaline earth salts, e.g., barium, calcium (e.g., calcium edetate), lithium, magnesium, potassium, sodium, and the like; other metal salts, such as aluminum, bismuth, iron, and zinc; as well as nontoxic ammonium, quaternary ammonium, and amino cations, including, but not limited to ammonium, tetramethylammonium, tetraethylammonium, methylamine, dimethylamine, trimethylamine, triethylamine, ethylamine, pyridinium, and the like. Other cationic salts include organic salts, such as chloroprocaine, choline, dibenzylethylenediamine, diethanolamine, ethylenediamine, methylglucamine, and procaine. Yet other salts include ammonium, sulfonium, sulfoxonium, phosphonium, iminium, imidazolium, benzimidazolium, amidinium, guanidinium, phosphazinium, phosphazenium, pyridinium, etc., as well as other cationic groups described herein (e.g., optionally substituted isoxazolium, optionally substituted oxazolium, optionally substituted thiazolium, optionally substituted pyrrolium, optionally substituted furanium, optionally substituted thiophenium, optionally substituted imidazolium, optionally substituted pyrazolium, optionally substituted isothiazolium, optionally substituted triazolium, optionally substituted tetrazolium, optionally substituted furazanium, optionally substituted pyridinium, optionally substituted pyrimidinium, optionally substituted pyrazinium, optionally substituted triazinium, optionally substituted tetrazinium, optionally substituted pyridazinium, optionally substituted oxazinium, optionally substituted pyrrolidinium, optionally substituted pyrazolidinium, optionally substituted imidazolinium, optionally substituted isoxazolidinium, optionally substituted oxazolidinium, optionally substituted piperazinium, optionally substituted piperidinium, optionally substituted morpholinium, optionally substituted azepanium, optionally substituted azepinium, optionally substituted indolium, optionally substituted isoindolium, optionally substituted indolizinium, optionally substituted indazolium, optionally substituted benzimidazolium, optionally substituted isoquinolinum, optionally substituted quinolizinium, optionally substituted dehydroquinolizinium, optionally substituted quinolinium, optionally substituted isoindolinium, optionally substituted benzimidazolinium, and optionally substituted purinium).
By “silyl” is meant a —SiR1R2R3 or —SiR1R2— group. In some embodiments, each of R1, R2, and R3 is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, or optionally substituted amino. In particular embodiments, each of R1, R2, and R3 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, or optionally substituted amino. In other embodiments, the silyl group is —Si(R)a(OR)b(NR2)c, in which each R is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic; each of a, b, and c≥0; and a+b+c=3. In particular embodiments, each R is, independently, H, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl.
By “silyloxy” is meant —OR, where R is an optionally substituted silyl group, as described herein. In some embodiments, the silyloxy group is —O—SiR1R2R3, in which each of R1, R2, and R3 is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, or optionally substituted amino. In particular embodiments, each of R1, R2, and R3 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, or optionally substituted amino. In other embodiments, the silyloxy group is —O—Si(R)a(OR)b(NR2)c, in which each R is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic; each of a, b, and c≥0; and a+b+c=3. In particular embodiments, each R is, independently, H, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl By “sulfinyl” is meant an —S(O)— group.
By “sulfo” is meant an —S(O)2OH group.
By “sulfonyl” or “sulfonate” is meant an —S(O)2— group or a —SO2R, where R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof.
By “thioalkyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through a sulfur atom. Exemplary unsubstituted thioalkyl groups include C1-6 thioalkyl. In some embodiments, the thioalkyl group is —S—R, in which R is an alkyl group, as defined herein.
By “thiol” is meant an —SH group.
A person of ordinary skill in the art would recognize that the definitions provided above are not intended to include impermissible substitution patterns (e.g., methyl substituted with 5 different groups, and the like). Such impermissible substitution patterns are easily recognized by a person of ordinary skill in the art. Any functional group disclosed herein and/or defined above can be substituted or unsubstituted, unless otherwise indicated therein.
Other features and advantages of the invention will be apparent from the following description and the claims.
The term “independently selected”, when referring to R substituent selection in a molecule containing multiple R groups, means that the selection of R substituents at different atoms of a molecule is independent and that the selection of R substituents at one atom having multiple R substituents is also independent.
In some embodiments, the deposition method provided herein involves providing a semiconductor substrate having a recessed feature, and exposing the substrate to a halogen-containing deposition inhibitor, where the deposition inhibitor modifies a surface of the substrate to make it more resistant to metal deposition. The process further involves exposing the semiconductor substrate to a metal precursor and a reducing agent, where the reducing agent reduces the metal precursor to metal in zero oxidation state.
When deposition of metals in recessed features is conducted in an absence of a deposition inhibitor, the metal can deposit at a greater deposition rate in the field region of the substrate as compared to the bottom portion of the recessed feature, or bottom portions of feature sidewalls. This may happen due to slower diffusion of metal precursor to the bottom of the recessed feature, which may create locally higher concentration of metal precursor near the field region than at the bottom of the recessed feature. Further, in some instances the deposition of metal occurs at a greater rate at the sidewalls near the opening of the recessed feature than at the sidewalls near the bottom of the recessed feature. The use of a halogen-containing deposition inhibitor can inhibit the deposition on the field region and near the opening of the recessed feature and can lead to deposition with excellent step coverage. For example, conformal metal layers may be deposited using methods provided herein. Conformal metal films where a ratio of deposited metal thickness at a recessed feature sidewall to deposited metal thickness on the field is at least 90%, such as at least 95%, can be obtained.
In the following Figures embodiments are illustrated using an alkyl halide deposition inhibitor as an example. It is understood that alkyl halide is used for illustration purposes, and a variety of halogen-containing compounds may be used as deposition inhibitors in the depicted embodiments. Examples of deposition inhibitors include dihalogens (e.g., F2, Cl2, Br2 and I2), hydrogen halides (e.g., HF, HCl, HBr, HI), metal halides, boron halides, germanium halides, compounds containing silicon-halogen bond, compounds containing carbon-halogen bond, and compounds containing nitrogen-halogen bond. In some embodiments, the halogen-containing compound is one or more of X2 (dihalogen), HX (hydrogen iodide), CH3X (methyl halide), C2H5X (ethyl halide), 1-halopropane, 2-halopropane, 1-halobutane, sec-butyl halide, t-butyl halide, halocyclopentane, halocyclohexane, halodomethane, 1,2-dihaloethane, 1,1-dihalopropane, 1,2-dihalopropane, 1,3-dihalopropane, 2,2-dihalopropane, 1,1-dihalobutane, 1,2-dihalobutane, 1,3-dihalobutane, 2,2-dihalobutane, 2,3-dihalobutane, 1,2-dihalo-2-methylpropane, 2,3-dihalo-2,3-dimethylbutane, 1,1,2,2-tetrahaloethane, 2,2,3,3-tetrahalobutane, 1,1,1,2,2,2-hexahaloethane, 1,2-dihalocyclopentane, 1,2-dihalocyclohexane, halobenzene, dihalobenzene, 2-halopyridine, 3-halopyridine, 4-halopyridine, 3-halo-1-nitrobenzene, 3-halo-1-trifluoromethylbenzene, 4-haloaniline, 4-halo-1-dimethylaminobenzene, 4-halophenol, (trimethylsilyl)methyl halide, (trimethylsilyl)methyl dihalide, (trimethylsilyl)methyl trihalide, bis(trimethylsilyl)methyl halide, bis(trimethylsilyl)methyl dihalide, tris(trimethylsilyl)methyl halide, 1-(trimethylsilyl)-1-haloethane, 1-(trimethylsilyl)-1,2-dihaloethane, 1,1-bis(trimethylsilyl)-1,2-dihaloethane, 1,2-bis(trimethylsilyl)-1,2-dihaloethane, CHX3 (trihalomethane), CX4 (carbon tetrahalide), 1-haloethene, 1-halopropene, 2-halopropene, 1-halo-1-butene, 1-iodo-2-butene, 2-halo-1-butene, 2-halo-2-butene, haloacetylene, 3,3-dimethyl-1-halo-but-1-yne, 1,1-dihaloethene, 1,2-dihaloethene, 1,2-dihalopropene, 1,2-dihalo-2-propene, 1,2-dihalobutene, 1,2-dihalo-2-butene, 1,2-dihalo-3-butene, 3,4-dihalocyclohexene, 4,5-dihalocyclohexadiene, 1,2-dihaloacetylene, 1,1,2,2-tetrahaloethene, SiX4 (silicon tetrahalide), SiHX3 (trihalosilane), SiH2X2 (dihalosilane), SiH3X (halosilane), Si(CH3)X3 (methylsilyl trihalide), Si(CH3)2X2 (dimethylsilyl dihalide), Si(CH3)3X (trimethylsilyl halide), Si(CH3)HX2 (dihalomethylsilane), Si(CH3)2HX (halodimethylsilane), Si(CH3)H2X (methylhalosilane), Si2X6 (hexahalodisilane), GeX4 (germanium tetrahalide), GeHX3 (trihalogermane), GeH2X2 (dihalogermane), GeH3X (halogermane), AlX3 (aluminum trihalide), BX3 (boron trihalide), TiX4 (titanium tetrahalide), SnX4 (tin tetrahalide), N-halosuccinimide, 1,3-dihalo-5,5-dimethylhydantoin, N-halophthalimide, N-halosaccharin, and 1-chloro-2-haloethane, wherein X (and halo) is a halogen independently selected from F, Cl, Br, and I.
In some embodiments iodine-containing halogen-containing compounds are used as deposition inhibitors. Examples of iodine-containing compounds include 12 (diiodine), HI (hydrogen iodide), CH3I (methyl iodide), C2H5I (ethyl iodide), 1-iodopropane, 2-iodopropane, 1-iodobutane, sec-butyl iodide, t-butyl iodide, iodocyclopentane, iodocyclohexane, diiodomethane, 1,2-diiodoethane, 1,1-diiodopropane, 1,2-diiodopropane, 1,3-diiodopropane, 2,2-diiodopropane, 1,1-diiodobutane, 1,2-diiodobutane, 1,3-diiodobutane, 2,2-diiodobutane, 2,3-diiodobutane, 1,2-diiodo-2-methylpropane, 2,3-diiodo-2,3-dimethylbutane, 1,1,2,2-tetraiodoethane, 2,2,3,3-tetraiodobutane, 1,1,1,2,2,2-hexaiodoethane, 1,2-diiodocyclopentane, 1,2-diiodocyclohexane, iodobenzene, diiodobenzene, 2-iodopyridine, 3-iodopyridine, 4-iodopyridine, 3-iodo-1-nitrobenzene, 3-iodo-1-trifluoromethylbenzene, 4-iodoaniline, 4-iodo-1-dimethylaminobenzene, 4-iodophenol, (trimethylsilyl)methyl iodide, (trimethylsilyl)methyl diiodide, (trimethylsilyl)methyl triiodide, bis(trimethylsilyl)methyl iodide, bis(trimethylsilyl)methyl diiodide, tris(trimethylsilyl)methyl iodide, 1-(trimethylsilyl)-1-iodoethane, 1-(trimethylsilyl)-1,2-diiodoethane, 1,1-bis(trimethylsilyl)-1,2-diiodoethane, 1,2-bis(trimethylsilyl)-1,2-diiodoethane, CHI3 (triiodomethane), CI4 (carbon tetraiodide), 1-iodoethene, 1-iodopropene, 2-iodopropene, 1-iodo-1-butene, 1-iodo-2-butene, 2-iodo-1-butene, 2-iodo-2-butene, iodoacetylene, 3,3-dimethyl-1-iodo-but-1-yne, 1,1-diiodoethene, 1,2-diiodoethene, 1,2-diiodopropene, 1,2-diiodo-2-propene, 1,2-diiodobutene, 1,2-diiodo-2-butene, 1,2-diiodo-3-butene, 3,4-diiodocyclohexene, 4,5-diiodocyclohexadiene, 1,2-diiodoacetylene, 1,1,2,2-tetraiodoethene, SiI4 (silicon tetraiodide), SiHI3 (triiodosilane), SiH2I2 (dioiodosilane), SiH3I (iodosilane), Si(CH3)I3 (methylsilyl triiodide), Si(CH3)2I2 (dimethylsilyl dioiodide), Si(CH3)3I (trimethylsilyl iodide), Si(CH3)HI2 (diiodomethylsilane), Si(CH3)2HI (iododimethylsilane), Si(CH3)H2I (methyliodosilane), Si2I6 (hexaiododisilane), GeI4 (germanium tetraiodide), GeHI3 (triiodogermane), GeH2I2 (diiodogermane), GeH3I (iodogermane), AlI3 (aluminum triiodide), BI3 (boron triiodide), TiI4 (titanium tetraiodide), SnI4 (tin tetraiodide), N-iodosuccinimide, 1,3-diiodo-5,5-dimethylhydantoin, N-iodophthalimide, N-iodosaccharin, 1-chloro-2-iodoethane, and iodinechloride (IC).
In another embodiment the use of an alkyl halide deposition inhibitor allows for bottom-up deposition of metal. In this embodiment, the surfaces of the field and sidewalls are sufficiently modified by exposure to the alkyl halide inhibitor to reduce metal growth on these surfaces to negligible numbers, whereas the bottom of the recessed feature is less modified, and metal growth rate on the bottom is substantially higher than the metal growth rate on the sidewalls and in the field. For example, the metal deposition rate on the bottom may be at least 1.5 times, such as at least about 2 times or at least about 5 times greater than on the inhibited surfaces (e.g. field or sidewalls). This is illustrated in
The inhibition of metal deposition on selected surfaces can be carried out using a variety of process sequences, and can be integrated into both CVD and ALD metal deposition processes. In CVD processes the metal precursor and the reducing agent are delivered to the process chamber contemporaneously at least during some time during the deposition. In ALD processes the metal precursor and the reducing agent are delivered to the process chamber sequentially, without contemporaneous delivery. In ALD, the deposition cycles, where each cycle includes a metal precursor delivery phase and a reducing agent delivery phase, are repeated as many times as necessary to form a metal film of desired thickness.
The metal depositions in the depicted examples can be carried out at temperatures of between about 250° C. and about 600° C. (such as between about 350° C. and about 600° C.), and pressures of typically less than 300 torr, such as between about 0.1 and about 100 torr. The metal precursors, the reducing agents and alkyl halide inhibitors are selected such that they can be introduced in a vapor form under deposition conditions. In some embodiments both the inhibition step and metal reduction step are carried out in the same process chamber or process station of a deposition apparatus. In other embodiments, alkyl halide surface pre-treatment is conducted in a different process chamber or process station than the metal precursor exposure and reduction. The reactants (the inhibitor, the metal precursor, and a reducing agent) can be flowed into process chamber with flow rates ranging, for example from 0.5-10,000 sccm depending on the implementation, with or without use of inert carrier gasses. In some embodiments, the deposition involves sequentially exposing the substate to an alkyl halide, a metal precursor, and a reducing agent, where each exposure lasts between about 1 and about 200 seconds, such as between about 5-50 seconds. In one exemplary implementation, the deposition process utilizes t-butyl chloride as an alkyl halide inhibitor, MoCl5 as a metal precursor for deposition of molybdenum, and H2 as a reducing agent. In some embodiments, exposure to the reducing agent (e.g., hydrogen) is carried out at a higher pressure than exposure to the alkyl halide, and a metal precursor. In some embodiments, an exposure to an alkyl halide is longer than each of the exposures to a metal precursor or a reducing agent.
Different exemplary process flows for metal deposition methods with the use of alkyl halide inhibition are illustrated in
In some embodiments, the inhibition with an alkyl halide inhibitor is integrated into an ALD process, illustrated by the process flow diagram shown in
In some embodiments, the initial pre-treatment 201 with the alkyl halide deposition inhibitor is sufficient to modify the deposition profile as desired, and subsequent deposition cycles do not include alkyl halide treatment. For example, the provided substrate may be treated with alkyl halide, and the process may follow by performing 2-2,000 deposition cycles, where each cycle has one metal precursor exposure step and one reducing agent exposure step. In some embodiments 2-200 of such deposition cycles are performed. This embodiment is referred to as an alkyl halide pre-soak deposition, since alkyl halide treatment is only used in the beginning of the process, but is not part of each of the repeating deposition cycle.
In other embodiments, it is advantageous to treat the substrate with alkyl halide deposition inhibitor in each deposition step. For example, one deposition cycle may include alkyl halide exposure, metal precursor exposure, and a reducing agent exposure. The deposition process, in some embodiments, involves performing 2-2,000 such cycles, for example 2-200 such cycles. This embodiment is referred to as a three-step cycle deposition.
In some embodiments the deposition process includes both two-step deposition cycles (metal precursor and reducing agent exposure phases in an absence of alkyl halide) and three-step deposition cycles (alkyl halide, metal precursor and reducing agent exposure phases). In some embodiments, the alkyl halide exposure step is inserted after a pre-determined number of two-step deposition cycles to modify the deposition profile as desired.
It is noted that the process flow shown in
The alkyl halide deposition inhibitor is capable of inhibiting metal deposition even if it is introduced contemporaneously with the metal-containing precursor. For example, in the process flow illustrated in
In another embodiment, the inhibitor is introduced contemporaneously with the reducing agent. This is illustrated by the process flow diagram shown in
In some embodiments the order of exposure of the substrate to the reducing agent and metal precursor may be switched (as compared to the order shown in
In other embodiments, the treatment with an alkyl halide inhibitor is integrated into a CVD process. For example, the substrate may be contacted with an alkyl halide inhibitor, prior to being exposed contemporaneously to metal precursor and a reducing agent.
Without being limited by a particular theory, or by a specific inhibition mechanisms, it is suggested that inhibition may occur due to halogenation of the substrate surface by the alkyl halide, where halogenation, in turn, limits adsorption of metal precursor on that surface. This mechanism is illustrated in
The alkyl halide deposition inhibitors that can be used in any of the methods provided herein include alkyl fluorides, alkyl chlorides, alkyl bromides, and alkyl iodides, which may include one or more halogen atoms. Primary alkyl halides, secondary alkyl halides, and tertiary alkylhalides can be used. Examples of primary alkyl halides include methyl fluoride, methyl chloride, methyl bromide, methyl iodide, ethyl fluoride, ethyl chloride, ethyl bromide, ethyl iodide, 1-fluoropropane, 1-chloropropane, 1-bromopropane, and 1-iodopropane, 1-fluorobutane, 1-chlorobutane, 1-bromobutane, and 1-iodobutane. Examples of secondary alkyl halides include 2-fluoropropane, 2-chloropropane, 2-bromopropane, 2-iodopropane, 2-fluorobutane, 2-chlorobutane, 2-bromobutane, and 2-iodobutane. In some embodiments tertiary alkyl halides are preferred. Examples of tertiary alkyl halides include t-butyl fluoride (also known as 2-fluoro-2-methylpropane), t-butyl chloride (also known as 2-chloro-2-methylpropane), t-butyl bromide (also known as 2-bromo-2-methylpropane), and t-butyl iodide (also known as 2-bromo-2-methylpropane).
In some embodiments, alkyl halides having formula:
Hal-C(R′)2—CH(R″)2
Provided methods can use a variety of metal precursors for deposition of metals in accordance with embodiments provided herein. The precursors are selected such that they can be delivered to a process chamber in a gaseous (e.g., vapor) form, either alone or with an inert carrier gas. For example, an inert gas flowing over a solid or liquid precursor can deliver the precursor to the process chamber. Examples of metals that can be deposited using gas phase deposition, e.g., ALD and CVD deposition include molybdenum, tungsten, cobalt and ruthenium.
Generally, molybdenum-containing precursors can include molybdenum in a wide range of oxidation states ranging from 0 to +6. In some embodiments, molybdenum compounds with molybdenum in low oxidation states +3, +4 and +5 are preferred. Provided methods are particularly useful for depositing molybdenum-containing materials from halogen-containing molybdenum-containing compounds, because silicon-containing reactants can assist in halogen scavenging, but halogen-free molybdenum-containing precursors can be used as well. Suitable molybdenum-containing precursors include molybdenum halides and oxyhalides, such as fluorides, chlorides, bromides, oxyfluorides, oxychlorides, and oxybromides where molybdenum may be in any of the oxidation states from +2 to +6.
In order to maintain appropriate volatility, in many embodiments discussed herein, the precursors having molecular weights of less than about 450 g/mol, such as less than about 400 g/mol are selected.
In some embodiments the molybdenum containing precursor has a formula MoXnYm, wherein X is a chalcogen (e.g., oxygen or sulfur), Y is a halogen (e.g., fluorine, chlorine, bromine, or iodine), n is 0, 1, or 2 and m is 2, 3, 4, 5, or 6. Examples of halogen-containing molybdenum-containing precursors include without limitation MoCl5, Mo2Cl10, MoO2Cl2, and MoOCl4. Another example of a halogen-containing molybdenum-containing precursor is MoF6.
In some embodiments molybdenum-containing precursors includes carbonyl ligands. In some embodiments organometallic molybdenum precursors, such as halogen-free organometallic molybdenum precursors are used. An example of a halogen-free organometallic molybdenum precursor is bis(ethylbenzene)molybdenum.
In one aspect, halide-containing heteroleptic molybdenum compounds are used as precursors for deposition of molybdenum-containing films, such as for deposition of molybdenum metal. In one embodiment, the precursor is a compound that includes molybdenum, at least one halide forming a bond with molybdenum, and at least one organic ligand having any of the N, O, and S elements, where an atom of any of these elements forms a bond with molybdenum. Examples of suitable organic ligands that provide nitrogen or oxygen bonding include amidinates, amidates, iminopyrrolidinates, diazadienes, beta-imino amides, alpha-imino alkoxides, beta-amino alkoxides, beta-diketiminates, beta-ketoiminates, beta-diketonates, amines, and pyrazolates. Examples of suitable organic ligands that provide sulfur bonding include thioethers, thiolates, dithiolenes, dithiolates, and α-imino thiolenes. These ligands may be substituted or unsubstituted. In some embodiments, these ligands include one or more substituents independently selected from the group consisting of H, alkyl, fluoroalkyl, alkylsilyl, alkylamino, and alkoxy substituents. The organic ligands can be neutral or anionic (e.g., monoanionic or dianionic), and molybdenum can be in a variety of oxidation states, such as +1, +2, +3, +4, +5, and +6.
Structures of exemplary suitable N and/or O containing organic ligands 1-17 are shown in
In some embodiments, at least one organic ligand in the precursor is an amine. Suitable amines include unidentate amines (e.g., monoalkylamines, dialkylamines), bidentate amines (e.g., unsubstituted or N-alkyl substituted ethylenediamines), and amines of higher denticities (e.g., substituted or unsubstituted diethylenetriamine). An example of a monodentate amine is amine 1, shown in
In some embodiments, at least one organic ligand in the precursor is an amidate. An example of an amidate is an amidate 3 shown in
In some embodiments, at least one organic ligand in the precursor is a diazadiene. Examples of diazadienes are 1,4-diazabuta-1,3-dienes (DAD) 5, 6, and 7, where each R is independently selected from H, alkyl, and fluoroalkyl. An interesting property of this ligand is that it can exist in neutral form 5, monoanionic radical form 6, and dianionic form 7. Due to redox activity of monoanionic (radical) form 6, it can be relatively easily removed during deposition making complexes of DAD 6 particularly useful for deposition of molybdenum metal and high purity molybdenum metal. DAD ligands 5, 6, and 7 can serve as bidentate ligands, each forming two molybdenum-nitrogen bonds. In some embodiments the molybdenum precursor includes DAD ligand 5, 6, or 7 as an organic ligand, where each R is independently selected from methyl, ethyl, propyl, isopropyl, n-butyl, isobutyl, sec-butyl and t-butyl.
In some embodiments, the at least one organic precursor is an iminopyrrolidinate (such as an iminopyrrolidinate 4, where each R is independently selected from H, alkyl, and fluoroalkyl), a beta-imino amide (such as beta-imino amide 8, where each R is independently selected from H, alkyl, and fluoroalkyl), an alpha-imino alkoxide (such as an alpha-imino alkoxide 9, where each R is independently selected from H, alkyl, and fluoroalkyl), a beta-diketiminate (such as an beta-diketiminate 10, where each R is independently selected from H, alkyl, and fluoroalkyl), a beta-ketoiminate (such as beta-ketoiminate 11, where each R is independently selected from H, alkyl, and fluoroalkyl), a beta-diketonate 12 (such as beta-diketonate 12, where each R is independently selected from H, alkyl, and fluoroalkyl), a pyrazolate (such as pyrazolate 13, where each R is independently selected from H, alkyl, and fluoroalkyl), a beta-aminoalkoxide (such as beta-aminoalkoxide 14, where each R is independently selected from H, alkyl, and fluoroalkyl), or a guadinidate 15 (such as guadinidate 15, where each R is independently selected from H, alkyl, and fluoroalkyl). These are monoanionic ligands that are capable of binding to molybdenum in bidentate manner.
In some embodiments, the at least one organic precursor is a sulfur containing ligand that is capable of forming molybdenum-sulfur bond. In some embodiments the at least one organic ligand in the precursor is a thioether. The term “thioether” is used herein broadly to include to include both unidentate and multidentate (e.g. bidentate ot tridentate) thioethers, as well as ligands that contain both thioether and thiolate (or other) moieties. An example of a unidentate thioether is dialkylsulfide R2S, where each R is an alkyl, such as dimethylsulfide, diethylsulfide, diisobutyl sulfide, and the like. An example of a multidentate thioether ligand that also includes thiolate moieties is (SCH2CH2SCH2CH2S)2− An example of a monodentate thioether is thioether 18, shown in
In some embodiments, the at least one organic ligand in the precursor is a dithiolene. Examples of dithiolenes are structures 20, 21, and 22, where each R is independently selected from H, alkyl, and fluoroalkyl. This ligand (similarly to DAD) can exist in a neutral form 20, monoanionic radical form 21, and dianionic form 22. Due to redox activity of the monoanionic radical form 21, it can be relatively easily removed during deposition and reduction of molybdenum precursor, making complexes of dithiolene 21 particularly useful for deposition of molybdenum metal and high purity molybdenum metal. Dithiolene ligands 20, 21, and 22 can serve as bidentate ligands, each capable of forming two molybdenum-sulfur bonds. In some embodiments the molybdenum precursor includes dithiolene ligand 20, 21, and/or 22 as an organic ligand, where each R is independently selected from methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, isobutyl, and t-butyl.
In some embodiments, the at least one organic ligand in the precursor is an alpha-iminothiolene, such as structure 23, where each R is independently selected from H, alkyl, and fluoroalkyl. In some embodiments each R substituent at the carbon atoms is independently selected from H, alkyl, fluoroalkyl, alkylsilyl, alkylamino, and alkoxy substituents, while R substituent at the nitrogen is independently selected from an alkyl and fluoroalkyl. In some embodiments R substituent at the nitrogen is independently selected from methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, isobutyl and t-butyl. This ligand (similarly to DAD, and dithiolene) has a monoanionic radical form, as shown in structure 23, is redox-active, and easily removable during reduction processes.
In some embodiments the precursor is a compound having a formula Mo(X)m(L)n, where m is selected from 1-4, n is selected from 1-3, each X is a halide independently selected from F, Cl, Br, and I and each L is an organic ligand as described above, e.g., a ligand independently selected from amidinates, amidates, iminopyrrolidinates, diazadienes, beta-imino amides, alpha-imino alkoxides, beta-amino alkoxides, beta-diketiminates, beta-ketoiminates, beta-diketonates, amines, and pyrazolates, thioethers, thiolates, dithiolenes, dithiolates, and α-imino thiolenes. In some embodiments in the named ligands each R is independently selected from H, alkyl, and fluoroalkyl.
In some embodiments L is a bidentate ligand. Examples of suitable molybdenum-containing precursors of formula Mo(L)Cl4, that utilize bidentate ligands are shown in
The heteroleptic complexes with molybdenum-halide bonds and organic ligands described herein can be synthesized using a reaction of molybdenum halide starting materials with the compounds comprising organic ligands in neutral or anionic form. For example, molybdenum(V) precursors may be prepared using MoCl5 as a starting material. Mo(III) precursors may be prepared using MoX3(THF)3 as a starting material, where X is selected from chloride, bromide, and iodide, and THF is tetrahydrofuran. The starting materials can be treated with the ligand in a neutral or anionic form (e.g. a salt, such as lithium or sodium salt), to form the heteroleptic complexes described herein.
The heteroleptic molybdenum compounds containing molybdenum-halide bonds and organic ligands described herein can advantageously provide high purity molybdenum metal in CVD-type and ALD-type deposition methods provided herein. Further, the use of these compounds can be associated with reduced etching of the substrate materials as compared with conventional homoleptic molybdenum halides. These advantages are described for illustration purposes and do not limit the use of these compounds solely to molybdenum metal deposition or to deposition on etching-sensitive substrates.
In some embodiments, when deposition is conducted on fluorine-sensitive materials (e.g., silicon-containing materials) the precursors are selected to be fluorine free, e.g., include any of the Cl, Br, and I as the halides in the complex. Further, the use of compounds with fluoroalkyl substituents may be avoided in these embodiments.
In one aspect, sulfur-containing molybdenum compounds are used as molybdenum-containing precursors for deposition of molybdenum-containing films, such as for deposition of molybdenum metal and molybdenum silicide. In some embodiments, the molybdenum compounds include molybdenum, and at least one sulfur-containing ligand providing molybdenum-sulfur bonding. Molybdenum precursors which are based on sulfur-containing ligands can be used to deposit molybdenum-containing films which are substantially free of impurities due to the ease of removal of sulfur impurities compared to oxygen, carbon, and nitrogen impurities. In some embodiments, the molybdenum compounds do not include molybdenum-carbon bonds and/or do not include molybdenum-oxygen double bonds. In some embodiments the molybdenum compounds do not include molybdenum-nitrogen double bonds. In some embodiments in the provided molybdenum precursors molybdenum forms bonds only with sulfur atoms.
Examples of suitable sulfur-containing ligands that provide sulfur bonding include thioethers, thiolates, dithiolenes, dithiolates, thiocarbamates, and α-imino thiolenes. The ligands can include one or more substituents independently selected from the group consisting of H, alkyl, fluoroalkyl, alkylsilyl, alkylamino, and alkoxy substituents. The ligands can be neutral or anionic (e.g., monoanionic or dianionic), and molybdenum can be in a variety of oxidation states, such as 0, +1, +2, +3, +4, +5, and +6.
In some embodiments the sulfur-containing ligands are ligands 18-25 shown in
In one specific example, the precursor is tetrakis(tert-butylthiolato)molybdenum(IV): Mo(SR)4, wherein R is t-butyl. Another example of suitable molybdenum precursors are molybdenum thiocarbamates, such as tetrakis(diethyldithiocarbamato)molybdenum(IV):
where each R is independently selected from alkyl (e.g., ethyl, methyl, propyl, butyl), and fluoroalkyl (e.g., CF3). In one specific example the precursor is tetrakis(diethyldithiocarbamato)molybdenum(IV).
In some embodiments dithiolene complexes of molybdenum are provided, where dithiolene may be in any of a neutral form 20, anion-radical form 21, and dianionic form 22, where each R is independently H, alkyl or fluoroalkyl.
Dithiolene complexes are redox-active and can support molybdenum in a variety of oxidation states. Redox reactions of dithiolene ligands 20, 21, and 22 are shown in Equation 1:
In one implementation, the precursor is Mo(21)3, where each R in 21 is independently selected from H, alkyl, and fluoroalkyl. For example, R may be methyl, ethyl, CF3, etc. This is a homoleptic Mo(III) compound containing exclusively molybdenum-sulfur bonds.
In some embodiments, the ligands may provide nitrogen bonding in addition to sulfur bonding. One example of such ligand is alpha-iminothiolene 23, which is a redox-active radical anion ligand that can exhibit behavior similar to that of thiolenes. In some embodiments the precursor is Mo(III) compound Mo(23)3, where each R in compound 23 is independently selected from H, alkyl, and fluoroalkyl.
In some embodiments, the precursor is MoLn compound, where n is from 2 to 6, and L is a sulfur-containing ligand, such as any of the sulfur-containing ligands described herein. In some embodiments each L is the same sulfur-containing ligand. In other embodiments the precursor may include different sulfur containing ligands L. Examples of precursors include Mo(19)2, Mo(19)3, Mo(19)4, Mo(19)5, Mo(19)6, Mo(19)2(18)2, Mo(19)3(18), Mo(19)4(18)2, Mo(21)3, Mo(20)(21)2, Mo(22)3, Mo(21)(22)2, Mo(20)(22)2, Mo(23)3, Mo(24)3, Mo(25)3. The sulfur-containing molybdenum compounds described herein can be synthesized using a reaction of molybdenum halide starting materials with the compounds comprising organic sulfur-containing ligands in neutral or anionic form. For example, molybdenum(V) precursors may be prepared using MoCl5 as a starting material. Mo(III) or Mo(IV) precursors may be prepared using corresponding halides or MoX3(L)3 or MoX4(L)2 as a starting material, where X is selected from chloride, bromide, and iodide, and L is a neutral Lewis base such as tetrahydrofuran or diethyl ether. The starting materials can be treated with the desired sulfur-containing ligand in a neutral or anionic form (e.g. a salt, such as lithium or sodium salt), to form the sulfur-containing complexes described herein.
In one example, Mo(IV) thiolato complexes are prepared by reacting molybdenum tetrachloride with lithium thiolates. For example MoCl4 can be reacted with t-BuSLi in 1,2-dimethoxythane solvent to form Mo(t-BuS)4 compound.
α-Iminothiolene ligands can be prepared from the corresponding α-iminoketone by thionation using a suitable reagent such as Lawesson's reagent. The radical anionic form of the α-iminothiolene can be prepared subsequently by treatment with an alkali metal, such as lithium. The resulting ligands and ligand salts can be reacted with molybdenum halides to form α-iminothiolene-containing molybdenum compounds.
Molybdenum complexes can also be prepared using a zero valent starting material such as molybdenum hexacarbonyl. The starting material can be treated with a neutral ligand, such as a thioether (dialkylsulfide), to induce redox neutral ligand exchange. The zero valent starting material can also be treated with a ligand precursor, such as bis(diethylthiocarbamoyl)disulfide or bis(trifluoromethyl)-1,2-dithiete, to induce oxidative addition and form the sulfur-containing complexes described herein.
The reactions may be conducted in a variety of non-protic solvents. For example the reaction may be conducted in an ether solvent, such as tetrahydrofuran, 2-methyltetrahydrofuran, diethyl ether, methyl-tert-butyl ether, 1,2-dimethoxyethane, in a hydrocarbon solvent such as toluene, benzene, heptane, hexane, pentane, or in a halocarbon solvent such as chlorobenzene, dichlorobenzene, fluorobenzene, difluorobenzene, dichloromethane, chloroform, etc. The reactions can be conducted in a wide temperature range depending on the boiling point of the solvent and on solubility of the products. In some embodiments, the starting materials, reaction intermediates, and the desired products are unstable toward moisture and oxygen. Accordingly, the reaction process should be conducted using anhydrous and air-free conditions using a protective inert gas, such as nitrogen or argon.
In another aspect, DAD-containing molybdenum-containing precursors are provided. DAD can bind to molybdenum in its neutral form 5, in its radical-anionic form 6, and in its dianionic form 7. In some embodiments, homoleptic DAD complexes are provided of formula Mo(DAD)m, where m is from 1 to 3, and each DAD is independently selected from neutral DAD 5, radical-anionic DAD 6, and dianionic DAD 7. The oxidation state of molybdenum in these complexes can range from 0 to +6. Non-limiting examples of suitable homoleptic DAD complexes include tris-DAD Mo(III) precursor Mo(6)3, bis-DAD Mo(IV) precursor Mo(7)2, bis-DAD Mo(III) precursor Mo(6)(7), and bis-DAD Mo(II) precursor Mo(6)2.
In some embodiments homoleptic DAD complexes are prepared using a reaction between molybdenum halide and a source of DAD ligand in the required electronic configuration. For example, tris-DAD Mo(III) precursor Mo(6)3 can be synthesized by reacting MoCl3 with three equivalents of the radical anion form of the DAD ligand, which can be prepared from the neutral form of the DAD ligand by treatment with an alkali metal, such as lithium, in a solvent, such as THF, as shown in Equation 2
In some embodiments, heteroleptic DAD-containing molybdenum compounds are provided. In some implementations the precursor includes molybdenum, at least one DAD ligand bound to molybdenum, and at least one second ligand, wherein the DAD may be neutral DAD 6, radical anionic DAD 7, or dianionic DAD 8, and the second ligand is independently selected from anionic ligands and neutral ligands. In some embodiments the precursor does not contain CO ligands as the only second ligands. In some embodiments the precursor is Mo(DAD)m(L)n(X)p, where L is a neutral Lewis base ligand and each L is independently selected from CO, an amine, a phosphine, a thioether, a nitrile, and an isonitrile, and X is an anionic ligand, and each X is independently selected from a halide, an alkyl, an allyl, and a cyclopentadienyl, and m is 1-3, n is 0-4, and p is 0-4. Nitriles are RCN compounds, where R is an alkyl. Isonitriles are RNC compounds, where R is an alkyl. Other suitable anionic ligands include alkoxides, amides, imides, and any other anionic ligands that include a donor atom chosen from C, N, O, B, S, Si, Al, and P.
Examples of heteroleptic DAD-containing precursors include without limitation Mo(7)2(RCN)Cl, MO(7)2(RNC)Cl, Mo(8)(CO)3, Mo(6)(13)Cl, Mo(6)(18)Cl2, Mo(6)2Cl, Mo(6)2(14), Mo(6)2(19), Mo(6)2(24).
Heteroleptic DAD-containing precursors can be prepared by sequential salt metathesis reactions in one pot or using multiple steps. Molybdenum halide starting materials such as Mo(V), Mo(IV), or Mo(III) halides can be treated with anionic forms of a DAD ligand or other anionic ligands. Neutral Lewis base ligands can be exchanged using thermal treatment or photoexcitation.
Heteroleptic DAD-containing precursors can also be prepared using a zero valent molybdenum starting material, such as molybdenum hexacarbonyl, which can undergo oxidative addition with redox active ligands, such as DAD ligands.
In some embodiments, the precursors containing radical anionic DAD ligand 8 are particularly preferred for deposition of molybdenum metal and high purity molybdenum metal. In the radical anionic form 7, the DAD ligand is electronically coupled to vacant molybdenum d-orbitals and is believed to serve as a source of electrons which reduce the molybdenum ions to the zerovalent metallic state. After ligand-to-metal electron transfer, the volatile, neutral DAD ligand 6 can be purged away from the molybdenum metal growth surface. Since the DAD ligand can be removed intact from the growth surface, incorporation of impurity elements such as C and N are reduced when using DAD precursors as compared to other metalorganic precursors. Therefore, molybdenum precursors containing radical anionic DAD ligands can be used for depositing high purity molybdenum metal at low temperatures.
In another aspect, precursors for deposition of molybdenum-containing films are di-molybdenum compounds containing a molybdenum-molybdenum bond (e.g., a multiple molybdenum-molybdenum bond, such as a double bond, or any multiple bond with a bond order of 2-5). Such precursors are particularly useful for deposition of molybdenum metal and high purity molybdenum metal because it is easier to reduce such compounds to metallic molybdenum than many mononuclear molybdenum compounds.
In some embodiments, a precursor for deposition of molybdenum-containing films is provided, wherein the precursor is Mo2Ln, wherein each L is independently selected from amidate, amidinate, and guanidinate ligands, n is 2-5, and where the precursor includes a multiple molybdenum-molybdenum bond. In some embodiments each L is independently selected from an amidinate ligand 2, amidate ligand 3, and a guanidinate ligand 15, wherein each R in the amidinate, amidate, and guanidinate is independently selected from H, alkyl, fluoroalkyl, alkylsilyl, alkylamino, and alkoxy substituents. In some embodiments each R is independently selected from H, alkyl, and fluoroalkyl. In some embodiments each L is an amidinate and the precursor has a formula Mo2(L)3 or Mo2(L)4. In some embodiments each L is an amidinate and the precursor has a formula Mo2(L)3 or Mo2(L)4. In some embodiments each L is a guanidinate and the precursor has a formula Mo2(L)3 or Mo2(L)4. In these complexes molybdenum has a low oxidation state 2+(in Mo2(L)3) and 3+ in (Mo2(L)4) making these complexes particularly suitable for facile reduction to molybdenum metal.
One exemplary structure of an amidate paddlewheel di-Mo (II) precursor having a quadruple molybdenum-molybdenum bond is shown by structure 38:
In some embodiments each of R and R′ is independently selected from alkyls, such as methyl, ethyl, isopropyl, and t-butyl. In some embodiments one, two, three or four amidate ligands in 38 may be substituted by amidinate or guanidinidate ligands.
Di-molybdenum precursors described herein can be synthesized using dimolybdenum tetraacetate as a starting material by treatment with a ligand salt such as lithium amidate.
Cobalt metal can be deposited using a variety of cobalt precursors, where cobalt may be in +1, +2 or +3 oxidation states. Examples of cobalt precursors include cobalt acetate, cobalt acetylacetonates (e.g., cobalt (III) bis(acetylacetonate)), cobalt amidinates (e.g., bis(N-t-butyl-N′-ethylpropanimidamidato)cobalt(II),) cobaltocene, and carbonyl-containing cobalt precursors (e.g., cobalt tricarbonyl nitrosyl, and cyclopentadienylcobalt dicarbonyl). An example of a halogen-containing cobalt precursor is CoCl2(TMEDA), where TMEDA is N,N,N,N′-tetramethylethylenediamine.
Ruthenium metal can be deposited, for example, using vaporizable ruthenium precursors, such as bis(ethylcyclopentadienyl)ruthenium(II), bis(pentamethylcyclopentadienyl)ruthenium, ruthenocene, and cyclopentadienyl-propyleyclopentadienylruthenium(II).
Tungsten can be deposited using a variety of volatile precursors. In some embodiments halogen-containing tungsten precursors, such as WHalx, where Hal is a halogen (e.g., F, Cl, Br, and/or I) and x is from 2 to 6, are used. In some embodiments tungsten chloride is used. Tungsten chloride includes tungsten pentachloride (WCl5), tungsten hexachloride (WCl6), tungsten tetrachloride (WCl4), tungsten dichloride (WCl2), and mixtures thereof. In other examples tungsten fluoride, such as tungsten hexafluoride may be used.
A number of reducing agents can be used for deposition of metal films provided herein. The reducing agent is selected such that it can reduce the metal precursor to metal or to assist in this reduction. Examples of suitable reactants for forming molybdenum metal include hydrogen (H2), ammonia (NH3), hydrazine (N2H4), an amine, diborane (B2H6), silane (SiH4), disilane (Si2H6), an alcohol, hydrogen sulfide (H2S), or a thiol. In some embodiments the reducing agent is hydrogen (H2).
The deposition methods described herein can be carried out in a variety of apparatuses. A suitable apparatus includes a processing chamber having one or more inlets for introduction of reactants, a substrate holder in the process chamber configured to hold the substrate in place during deposition, and, optionally, a plasma generating mechanism configured for generating a plasma in a process gas. Generally, the methods provided herein do not require activation of reactants by plasma, and can be conducted in an absence of plasma treatments. The apparatus may include a controller having program instructions configured to cause performance of any of the method steps described herein. The deposition methods described herein may be carried out in corresponding ALD and CVD apparatuses available from Lam Research Corp. of Fremont, CA, such as Halo, Altus®, Vector®, and Striker® tools.
For example, in some embodiments the apparatus includes a controller having program instructions that include instructions for: causing exposure of a semiconductor substrate having a recessed feature to an alkyl halide inhibitor, where the alkyl halide inhibitor modifies a surface of a substrate to make it more resistant to metal deposition; causing exposure of the semiconductor substrate to a metal precursor and a reducing agent to deposit a layer of metal. The controller may include program instructions for causing any of the methods described herein.
An example of a deposition apparatus suitable for depositing metal films using provided methods is shown in
Process station 700 fluidly communicates with reactant delivery system 701 for delivering process gases to a distribution showerhead 706. Reactant delivery system 701 includes a mixing vessel 704 for blending and/or conditioning process gases for delivery to showerhead 706. One or more mixing vessel inlet valves 720 may control introduction of process gases to mixing vessel 704. Similarly, a showerhead inlet valve 705 may control introduction of process gasses to the showerhead 706.
Some metal precursors may be stored in solid or liquid form prior to vaporization and subsequent delivery to the process station. For example, the embodiment of
Showerhead 706 distributes process gases toward substrate 712. In the embodiment shown in
In some embodiments, a microvolume 707 is located beneath showerhead 706. Performing an ALD and/or CVD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters. This microvolume also impacts productivity throughput. While deposition rate per cycle drops, the cycle time also simultaneously reduces. In certain cases, the effect of the latter is dramatic enough to improve overall throughput of the module for a given target thickness of film.
In some embodiments, pedestal 708 may be raised or lowered to expose substrate 712 to microvolume 707 and/or to vary a volume of microvolume 707. For example, in a substrate transfer phase, pedestal 708 may be lowered to allow substrate 712 to be loaded onto pedestal 708. During a deposition process phase, pedestal 708 may be raised to position substrate 712 within microvolume 707. In some embodiments, microvolume 707 may completely enclose substrate 712 as well as a portion of pedestal 708 to create a region of high flow impedance during a deposition process.
Optionally, pedestal 708 may be lowered and/or raised during portions the deposition process to modulate process pressure, reactant concentration, etc., within microvolume 707. In one scenario where process chamber body 702 remains at a base pressure during the deposition process, lowering pedestal 708 may allow microvolume 707 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:700 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
While the example microvolume variations described herein refer to a height-adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 706 may be adjusted relative to pedestal 708 to vary a volume of microvolume 707. Further, it will be appreciated that a vertical position of pedestal 708 and/or showerhead 706 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 708 may include a rotational axis for rotating an orientation of substrate 712. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
Returning to the embodiment shown in
In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, the instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a deposition process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. A third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
In some embodiments, pedestal 708 may be temperature controlled via heater 710. Further, in some embodiments, pressure control for deposition process station 700 may be provided by butterfly valve 718. As shown in the embodiment of
The depicted processing chamber 814 comprises four process stations, numbered from 1 to 4 in the embodiment shown in
In some embodiments, system controller 850 controls all of the activities of process tool 800. System controller 850 executes system control software 858 stored in mass storage device 854, loaded into memory device 856, and executed on processor 852. System control software 858 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrate, pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 800. System control software 858 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes in accordance with the disclosed methods. System control software 858 may be coded in any suitable computer readable programming language.
In some embodiments, system control software 858 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an ALD process may include one or more instructions for execution by system controller 850. The instructions for setting process conditions for an ALD process phase may be included in a corresponding ALD recipe phase. In some embodiments, the ALD recipe phases may be sequentially arranged, so that all instructions for a ALD process phase are executed concurrently with that process phase.
Other computer software and/or programs stored on mass storage device 854 and/or memory device 856 associated with system controller 850 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 818 and to control the spacing between the substrate and other parts of process tool 800.
A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. The process gas control program may include code for controlling gas composition and flow rates within any of the disclosed ranges. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc. The pressure control program may include code for maintaining the pressure in the process station within any of the disclosed pressure ranges.
A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate. The heater control program may include instructions to maintain the temperature of the substrate within any of the disclosed ranges.
A plasma control program may include code for setting RF power levels and frequencies applied to the process electrodes in one or more process stations, for example using any of the RF power levels disclosed herein. The plasma control program may also include code for controlling the duration of each plasma exposure.
In some embodiments, there may be a user interface associated with system controller 850. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
In some embodiments, parameters adjusted by system controller 850 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF power levels, frequency, and exposure time), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 850 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 800. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
Any suitable chamber may be used to implement the disclosed embodiments. Example deposition apparatuses include, but are not limited to, apparatus from the Halo® product family, available from Lam Research Corp., of Fremont, California, or any of a variety of other commercially available processing systems. Two or more of the stations may perform the same functions. Similarly, two or more stations may perform different functions. Each station can be designed/configured to perform a particular function/method as desired.
Also mounted on the transfer module 903 may be one or more single or multi-station modules 907 capable of performing plasma or chemical (non-plasma) pre-cleans, or any other processes described in relation to the disclosed methods. The module 907 may in some cases be used for various treatments to, for example, prepare a substrate for a deposition process. The module 907 may also be designed/configured to perform various other processes such as etching or polishing. The system 900 also includes one or more wafer source modules 901, where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 919 may first remove wafers from the source modules 901 to loadlocks 921. A wafer transfer device (generally a robot arm unit) in the transfer module 903 moves the wafers from loadlocks 921 to and among the modules mounted on the transfer module 903.
In various embodiments, a system controller 929 is employed to control process conditions during deposition. The controller 929 will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
The controller 929 may control all of the activities of the deposition apparatus. The system controller 929 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller 929 may be employed in some embodiments.
Typically there will be a user interface associated with the controller 929. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by “programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language.
The computer program code for controlling the reducing agent flow, alkyl halide inhibitor flow, metal precursor flow, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
The controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 929. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus 900.
The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the deposition processes (and other processes, in some cases) in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
In some implementations, a controller 929 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller 929, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
The apparatus and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such apparatus and processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
Molybdenum was deposited on TiN and W surfaces with and without alkyl halide inhibition. MoCl5 was used as a molybdenum precursor, H2 was used as a reducing agent, and t-butyl chloride (also known as 2-chloro-2-methylpropane) as the inhibitor. In an absence of t-butyl chloride inhibition, molybdenum was deposited on a substrate (with either TiN or W surface) be repeating deposition cycles (170 cycles), where each cycle included MoCl5 exposure and H2 exposure. Molybdenum was deposited to a thickness of about 120 Å on both TiN and W substrates.
In a first example with inhibition, the substrate (with either TiN or W surface) was pre-treated with t-butyl chloride followed by inhibitor-free deposition cycles (170 cycles), where each cycle included sequential exposure to MoCl5 and H2. Molybdenum was deposited to a thickness of about 50 Å on both TiN and W substrates.
In a second example with inhibition, molybdenum was deposited on a substrate (with either TiN or W surface) by performing 170 deposition cycles, where each cycle included sequential t-butyl chloride exposure, MoCl5 exposure and H2 exposure. Molybdenum was deposited to a thickness of about 70 Å on both TiN and W substrates. These examples demonstrate that t-butyl chloride effectively inhibited molybdenum deposition on both TiN and W surfaces in both pre-soak alkyl halide inhibition process and in a three-step cyclic deposition (inhibitor-assisted deposition), where each full ALD cycle includes an inhibitor exposure.
A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in their entireties and for all purposes.
Filing Document | Filing Date | Country | Kind |
---|---|---|---|
PCT/US2022/080705 | 11/30/2022 | WO |
Number | Date | Country | |
---|---|---|---|
63265522 | Dec 2021 | US |