Electronic beam processing device and method using carbon nanotube emitter

Information

  • Patent Grant
  • 7718080
  • Patent Number
    7,718,080
  • Date Filed
    Monday, August 14, 2006
    17 years ago
  • Date Issued
    Tuesday, May 18, 2010
    14 years ago
Abstract
Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
Description
TECHNICAL FIELD

This application relates generally to semiconductor devices and device fabrication and, more particularly, to surface processing using electron beams in various configurations to activate and/or stimulate surface processing.


BACKGROUND

Semiconductor processing is used to form structures and devices such as transistors, capacitors, etc. that in turn are used to form semiconductor memory chips, processing chips, and other integrated circuits. Semiconductor device uses range from personal computers, to MP3 music players, to mobile telephones. In the fabrication process of semiconductor structures and devices, techniques that are frequently used include material deposition processes, and material removal processes such as etching. By sequentially depositing and etching in selected regions on a semiconductor wafer, devices such as transistors, etc. are eventually formed.


As in any manufacturing process, reducing the time needed for a given manufacturing step or eliminating selected manufacturing steps reduces the cost of the final product. Selectively etching a semiconductor surface is a necessary step in most semiconductor processing operations. Selectivity can be obtained using a number of techniques, including use of a protective mask or using chemicals that selectively react with one material over another. Although techniques exist that provide some degree of selectivity, further improvements to processes that reduce time needed to complete a step, and/or eliminate processing steps are desired to further reduce cost. Improving selectivity also provides increased precision, allowing more detailed and/or smaller structure formation.


What is needed is an improved semiconductor processing method that addresses these and other concerns. What is also needed is a system to provide these methods and other processing needs. Also needed are inexpensive and high precision components formed by improved processing methods.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 shows a method flow diagram of semiconductor processing according to an embodiment of the invention.



FIG. 2A shows a side view surface diagram of semiconductor processing according to an embodiment of the invention.



FIG. 2B shows an end view of an electron beam generating array according to an embodiment of the invention.



FIG. 3 shows a block diagram of a semiconductor processing system according to an embodiment of the invention.



FIG. 4 shows another diagram of a semiconductor processing system according to an embodiment of the invention.



FIG. 5 shows a block diagram of a semiconductor memory according to an embodiment of the invention.



FIG. 6 shows a block diagram of an electronic system according to an embodiment of the invention.





DETAILED DESCRIPTION

The following detailed description refers to the accompanying drawings that show, by way of illustration, specific aspects and embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and chemical, structural, logical, and electrical changes may be made without departing from the scope of the present invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.


The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure. The term substrate is understood to include semiconductor wafers. The term substrate is understood to include semiconductor on insulator wafers such as silicon-on-insulator (SOI). The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors.



FIG. 1 shows a flow diagram with a method of semiconductor surface processing according to one embodiment of the invention. In step 100, a semiconductor surface is included within a processing chamber, and a chemical species is introduced. Examples of chemical species include, but are not limited to, gasses, plasmas, etc. In one embodiment, the semiconductor surface includes one or more semiconductor wafers. One processing chamber includes an in-line production chamber where wafers are passed from station to station in a vacuum. In one embodiment, a processing chamber includes a chamber of a scanning electron microscope (SEM) as will be discussed in more detail below.


In one embodiment, a plasma is included in the chamber along with a gas source. In one embodiment only a plasma source such as a remote plasma generator is used. In one embodiment only a gas source is used. One of ordinary skill in the art having the benefit of the present disclosure will recognize that a portion of a plasma generated from a remote plasma source may recombine in the reaction chamber. In such an example, plasma species will be present in the reaction chamber along with non-plasma gas species. In one embodiment, the selected chemical species is capable of etching a region of the semiconductor surface. In addition, in one embodiment, the chemical species included in the reaction chamber are capable of dissociating into one or more species that are capable of etching a region of the semiconductor surface. For example, chemical species are chosen in one embodiment to dissociate when exposed to energies supplied by an electron beam, including, but not limited to a beam in a SEM. In one embodiment, the chemical species includes a halogen species. Examples of halogens include fluorine, chlorine, bromine, iodine, and astatine. In one embodiment, the chemical species further includes carbon. One example of a species that includes carbon and fluorine as a halogen include CF4. In one embodiment, the chemical species includes other species such as hydrogen or another element. One example of a gas including hydrogen is CHF3. In one embodiment, other species in addition to carbon and a halogen include multi-component species such as a carbon and hydrogen chain, or other combination of elements.


In step 110, the semiconductor surface and chemical species adjacent to the surface are exposed to an electron beam. As discussed above, in one embodiment, the electron beam is generated by an electron beam source in an electron microscope such as a SEM. In one embodiment, the electron beam source includes a carbon nanotube source. Carbon nanotube sources include an advantage of higher beam density and smaller spot size. Increased beam density provides more potential activation energy to react with chemical species as discussed below. Further, a smaller spot size allows higher precision in directing the electron beam to only a specific region of the semiconductor surface. In SEM embodiments, a smaller spot size also provides higher imaging resolution.


In a SEM embodiment, the electron beam can be focused using electromagnetic lenses. In one embodiment, the SEM configuration also provides a system to scan the electron beam over an area of the substrate. In one embodiment, such as a SEM embodiment, an imaging system is further included. In one embodiment, an imaging system includes devices such as a secondary electron detector.


One advantage of a SEM configuration includes the ability to focus and scan on only a selected portion of the substrate such as a semiconductor wafer. Another advantage of a SEM configuration includes the ability to concurrently image the selected portion of the surface being exposed to the electron beam. The ability to image allows a user to easily select the region to be exposed to the electron beam from the bulk of the semiconductor surface.


In one embodiment, a material composition detection system is further included. Examples of material composition detection systems include, but are not limited to x-ray detection systems, Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. In one embodiment, a material composition detection system is used to quantify composition of a coating that is grown in conjunction with electron beam interaction. Growth of such coatings will be discussed in more detail below.


Although an electron microscope is used as an example of an electron beam source, the invention is not so limited. Other embodiments include an electron beam source without additional microscope elements such as lenses, rastering systems, secondary electron detectors, etc. In one embodiment, the electron beam source includes an array of electron beam sources with selectable regions of electron beams. In one embodiment, one or more regions of the array can be varied to include different electron beam energies, or no electron beams in selected regions only. In one embodiment, an array of electron beam sources includes a carbon nanotube array with associated circuitry to select numbers of individual nanotubes within the array.


An advantage of electron beam array embodiments includes further ability to selectively process one region of a semiconductor surface while concurrently or sequentially processing an adjacent region in a different manner. One example includes providing electron beam energy to a first region sufficient to etch the surface of the first region while providing a different electron beam energy or absence of electron beam energy to a second region to grow a protective layer over the second region. As discussed above, in step 120, a portion of an electron beam array is activated to expose the chemical species only in a selected region. In selected embodiments, other energetic beams such as neutron beams, x-rays, etc. are used to provide energy appropriate to dissociate the chosen chemical species. Energetic beams such as electron beams provide an advantage in selected embodiments because they cause minimal damage to the workpiece in contrast to ion beams or other particle beams that may cause sputtering or other surface damage.


In step 130, the chemical species is at least partially dissociated into a number of reactive species. In one embodiment, the energy from the electron beam or electron beam array provides at least a portion of the energy necessary to dissociate the gas into the number of reactive species. The exact composition of the species will depend on the gas that is used. For example CF4 gas will dissociate into a number of species such as CF3, CF2, and CF. One of ordinary skill in the art, having the benefit of the present disclosure will recognize that the energy of the electron beam can be adjusted to more effectively dissociate the species depending on the specific chemistry chosen.


In one embodiment, the chemical species is chosen such that the reactive species selectively etch a specific material on the semiconductor surface. In one embodiment, the reactive species are chosen to etch silicon dioxide. In one embodiment, the reactive species generated from the plasma source and/or the electron beam interaction does not etch a second material such as silicon. In one embodiment, a selective reaction such as etching is determined by a large difference in reaction rate. Although a reaction may be described as occurring on one material and not on another, in one embodiment, the reaction may occur on both materials, however a substantial difference in reaction rate is observed.


In selected embodiments, a coating is deposited on a region of the semiconductor surface, while concurrently an etching reaction is occurring on another region of the semiconductor surface. One example includes a silicon dioxide region that is adjacent to a silicon region. In one embodiment, a coating is deposited on the silicon region while the silicon dioxide region is etched at substantially the same time. Further, in one embodiment, a coating is deposited on the silicon dioxide region while the silicon region is etched at substantially the same time. Although silicon and silicon dioxide are used as examples, the invention is not so limited. Other semiconductor processing materials can be selectively etched or coated using appropriate reactive species chemistry that will be appreciated by one of ordinary skill in the art, having the benefit of the present disclosure. Examples of other semiconductor materials include, but are not limited to nitride materials, spin on glass materials, or other semiconductors such as germanium, or gallium arsenide, etc.


In one embodiment, a deposited coating includes a carbon containing coating. In one embodiment, the coating includes an amount of halogen. Using such an example, the coating can be characterized using a ratio of halogen to carbon.



FIG. 2A illustrates one example of a method using some of the examples listed above. A chemical species 220 is shown in a reaction chamber over a substrate 210. The chemical species 220 can be generated by a plasma source such as a remote plasma generator. In one embodiment, the chemical species 220 includes a gas. Although for illustration purposes one form of chemical species 220 is shown in FIG. 2A, the invention is not so limited. In one embodiment, the reaction chamber includes two or more different species. In one example, the reaction chamber includes species generated by a plasma source and gas species from a different gas source. In one embodiment, one or more of the chemical species 220 are capable of reacting with the substrate and/or an electron beam energy source.


In one embodiment, the chemical species 220 includes CHF3. In one embodiment, the substrate 210 includes a semiconductor wafer. A first silicon region 214 and a second silicon region 216 are shown with a silicon dioxide region 218 located adjacent to the silicon regions 214, 216.


A plurality of electron beams 230 of a first energy 230 (energy indicated by arrow length) is shown directed at the substrate 210. In one embodiment, the plurality of electron beams 230 are generated by an electron beam generating array 238. Additional particles 236 are shown that are generated as a result of the electron beams 230 interaction with the surface of the substrate 210. Additional particles 236 include, but are not limited to secondary electrons and backscattered particles. In one embodiment, additional particles 236 are used for material characterization.


Also shown in FIG. 2A are a second plurality of electron beams 232 generated by the electron beam generating array 238. As indicated by the arrow length, the first plurality of electron beams 230 have a different energy level than the second plurality of electron beams 232. In one embodiment, the first plurality of electron beams 230 are of a higher energy level than the second plurality of electron beams 232. In other embodiments, the second plurality of electron beams 232 are of a higher energy level than the first plurality of electron beams 230. Further shown in FIG. 2A is a selected region 234 of the electron beam generating array 238 where no electron beams are generated. By controlling the regions and energy levels or absence of electron beams, selected processing over a semiconductor surface is accomplished.



FIG. 2A illustrates the chemical species 220 as including a first subspecies 222 and a second subspecies 224. The illustration of two subspecies is used as an example only. In various embodiments, the chemical species 220 can be broken down into more than two subspecies. In one embodiment, the chemical species 220 reacts with the electron beam 230 and is dissociated into the first subspecies 222 and the second subspecies 224.



FIG. 2A shows the second subspecies 224 etching a surface 219 of the silicon dioxide region 218. Also shown are a first coating 240 on a top surface 215 of the first silicon region 214, and a second coating 242 on a top surface 217 of the second silicon region 216. In a separate reaction, one of the subspecies also forms the coatings. For example, the second subspecies 224 is shown in FIG. 2 forming the first and second coatings 240, 242.


Using CHF3 gas as a gas species 220 example, a first subspecies example includes HF and a second subspecies includes CF2. In the example, the CF2 subspecies reacts with SiO2 to form SiOFx and COx byproducts and the SiO2 surface, such as surface 219 in FIG. 2, is etched in the reaction. Further, in the example, the CF2 subspecies deposits a coating on Si surfaces such as surfaces 215 and 217 of FIG. 2. In one embodiment, the coating is deposited in a polymerization reaction. An advantage of using a carbon and halogen containing gas includes the ability to both etch and deposit a coating concurrently. Specifically with SiO2 and Si surfaces present, the carbon is needed in the chemical reaction to etch SiO2 and the carbon further provides material to form the coating.


In one embodiment, an etching region such as surface 219 is exposed to electron beams from the electron beam generating array 238 of a first energy while a depositing region such as surface 217 is exposed to either no electron beams, or electron beams of a different energy than the etching region. One advantage of this configuration includes the ability to promote different chemical reactions concurrently in adjacent surface regions. For example, electron beams can be used to promote etching in one region and coating deposition in another region as shown in FIG. 2A.


An advantage of forming a coating concurrent to etching includes the ability to further enhance selectivity in an etching operation. In one embodiment, the coating serves as a sacrificial coating, and further protects the coated surface from etching. As discussed above, in one embodiment, selective etching is defined as a large difference in etch rate, with a material such as silicon etching, but at a much slower rate than another adjacent material such as silicon dioxide. The presence of a coating further reduces or eliminates any etching of the non selected material. Enhanced selectivity provides a number of advantages including the ability to form more detailed structures with sharper edge profiles, etc.


As mentioned above, in one embodiment, the coating contains both carbon and an amount of halogen such as fluorine. In one embodiment, a ratio of halogen to carbon is controlled to tailor the chemical and physical properties of the coating. Controlling the coating chemistry further enhances desired properties such as selective etching. For example, materials with a lower ratio of halogen to carbon provide better resistance to etching. In one embodiment, the ratio of halogen to carbon in the coating is controlled by further introducing a scavenger gas to the reaction chamber. In one embodiment, the scavenger gas is chosen to react with the halogen to form a byproduct gas that is removed from the reaction chamber by the vacuum system. In this way, the amount of halogen is reduced in the coating.


In one embodiment, the scavenger gas includes hydrogen gas (H2). In a carbon-fluorine gas example, hydrogen forms HF gas, and thus reduces the amount of fluorine available in the chamber to form in the coating. In one embodiment, a scavenger gas is introduced to remove other species. For example, if it is desirable to have a high ratio of halogen to carbon in a coating, a scavenger gas such as O2 can be introduced to preferentially remove carbon from the system, forming COx gasses.


In one embodiment, a noble gas is further introduced to the system. Examples of noble gasses includes helium, neon, argon, krypton, xenon, and radon. In one embodiment, the addition of a noble gas further enhances the dissociation of the gas species 220 from FIG. 2A in addition to the dissociation provided by one or more of the electron beam 230 or 232. One mechanism of enhanced dissociation from noble gasses includes electron attachment dissociation.



FIG. 2B shows a block diagram view of the electron beam generating array 238. A plurality of individual electron beam sources 239 are shown within the array 238. Circuitry is associated with the array (not shown) to selectively activate one or more of the individual electron beam sources 239 in the array. In one embodiment, selected individual electron beam sources 239 are activated to form a pattern of exposure on a surface such as a semiconductor surface. In one embodiment, the individual electron beam sources 239 include carbon nanotube sources. As discussed above, advantages of carbon nanotube sources include high beam density and decreased beam spot size.



FIG. 3 shows a block diagram of a semiconductor processing system 300. The system 300 includes a reaction chamber 310 with an electron beam source 312 coupled to the chamber 310. In one embodiment, the electron beam source 312 includes a focused scanning electron beam source such as provided in an SEM. A vacuum pump 318 is shown coupled to the reaction chamber 310. One of ordinary skill in the art having the benefit of the present disclosure will recognize that a number of possible vacuum pumps such as mechanical pumps, turbo pumps, etc. are within the scope of the invention.


A gas supply 316 is shown coupled to the reaction chamber 310. In one embodiment, the gas supply 316 provides one or more gas species in selected amounts. One gas includes a gas species to dissociate into etching and coating species. In selected embodiments, the gas supply also provides additional gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. In one embodiment, the gas supply includes controlling mechanisms and circuitry to function as an atomic layer deposition (ALD) system. For example, selected gasses can be supplied in pulses, and purge gasses or evacuation steps can be included between gas pulses. One of ordinary skill in the art having the benefit of the present disclosure will recognize that ALD gas choice depends on the chemistry of the surface where layer deposition is desired.


In one embodiment, a plasma source 315 such as a remote plasma source is coupled to the reaction chamber 310. In one embodiment, the remote plasma source 315 provides a chemical species as discussed above to dissociate into etching and coating species.


In one embodiment, a detector 314 is further included in the system 300, such as a secondary electron detector. In one embodiment, the detector 314 is used to provide imaging capability to the system 300 such as in a scanning electron microscope configuration. In one embodiment, other detection capability is also included in detector 314 such as detection of elemental composition.



FIG. 4 shows a more detailed diagram of a system 400 similar to the system 300 shown in FIG. 3. The example system 400 in FIG. 4 includes a scanning electron type system 400 according to an embodiment of the invention. A processing chamber 410 is shown with a workpiece 402. As discussed above, in one embodiment, the workpiece includes a semiconductor device, chip, or other component. A conduit 418 or other connection is shown coupling the system 400 to a vacuum device (not shown). An electron source 412 is included in the system 400 to generate an electron beam 424 directed at a surface of the workpiece 402. In one embodiment, the electron source 412 includes a carbon nanotube source. Advantages of carbon nanotube sources include increased beam density and higher imaging resolution as discussed above. In one embodiment, a beam focusing lens device 420 is included to focus the electron beam 424. In one embodiment, a scanning device 422 is further included to raster, or otherwise scan a surface of the workpiece 402 with the beam 424.


In one embodiment, the electron source 412 includes an electron beam generating array similar to embodiments discussed above. One example includes a carbon nanotube array. Selected embodiments using an electron beam generating array do not include scanning devices, and rather operate by actuating individual electron beam sources within the array to selectively expose portions of the workpiece surface to beams of various energies.


A detector 414 is shown coupled to the system 400. In one embodiment, the detector 414 includes a secondary electron detector as described above to detect secondary electrons 426 as shown in the Figure. In one embodiment, the detector 414 includes other detecting capability such as Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. for detecting and quantifying material composition.


A gas source 416 is shown coupled to the reaction chamber 410. As discussed in selected embodiments above, an example of a gas supplied by the gas source 416 includes a gas species to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region. In selected embodiments, the gas source 416 provides gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. Specific gasses include, but are not limited to, H2, O2, noble gasses, and carbon and halogen gasses such as CHF3. In one embodiment, a tube or other directing structure 417 is included to better direct the gas or gasses over the workpiece 402.


A plasma source 415 such as a remote plasma source is also coupled to the reaction chamber 410 in one example. In one embodiment, the remote plasma source 415 provides a chemical species as discussed to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region. One advantage of systems that include both a gas source and a plasma source includes increased density of reactive species. Systems with both a plasma source and an electron beam activated species can generate reactive species from the plasma, as well as through interactions with the electron beam.


Further, in selected chemical systems, reactive species may be unstable, and recombine before reacting with the workpiece surface 402. In one embodiment, an electron beam interaction helps maintain a density of reactive species provided by a plasma source.


Methods of processing semiconducting wafers, semiconductor devices, IC's, surface, etc. including electron beam techniques as described above may be implemented into a wide variety of electronic devices. Embodiments of these devices may include semiconductor memory, telecommunication systems, wireless systems, and computers. Further, embodiments of electronic devices may be realized as integrated circuits.



FIG. 5 illustrates an example of a semiconductor memory 500 formed using methods and devices described above. The memory 500 includes an array of memory cells 510 such as dynamic random access memory (DRAM) cells, or flash memory cells. A first sense amplifier 530 is included in one embodiment. A second sense amplifier 532 is included in one embodiment. Circuitry 520 is coupled between cells in the array 510 and one or more sense amplifiers to detect the state of selected cells.



FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 610 and a memory 630. The controller 610 or memory 630 may include structures formed by processes in accordance with the teachings herein. System 600 also includes an electronic apparatus 640 and a bus 620, where bus 620 provides electrical conductivity between controller 610 and electronic apparatus 640, and between controller 610 and memory 630. Bus 620 may include an address, a data bus, and a control bus, each independently configured. Alternatively, bus 620 may use common conductive lines for providing address, data, or control, the use of which is regulated by controller 610. In one embodiment, electronic apparatus 640 may be additional memory configured similar as memory 630. An embodiment may include an additional peripheral device or devices 650 coupled to bus 620. In one embodiment, the controller 610 is a processor. In one embodiment, the controller 610 is a processor having a memory. Any of controller 610, memory 630, bus 620, electronic apparatus 640, and peripheral device devices 650 may include structures formed by processes as described in selected embodiments above. System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers.


Peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 610. Alternatively, peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with the controller 610 or memory 630, etc.


Memory 630 may be realized as a memory device containing structures formed by processes in accordance with various embodiments. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device. Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as Synchlink or Rambus DRAMs and other emerging DRAM technologies.


Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations of embodiments of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments and other embodiments will be apparent to those of skill in the art upon studying the above description. The scope of the present invention includes any other applications in which embodiment of the above structures and fabrication methods are used. The scope of the embodiments of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims
  • 1. A method of semiconductor processing, comprising: introducing a chemical species to a semiconductor surface within a processing chamber;activating a first portion of an electron beam generating array to selectively provide a first electron beam energy to the chemical species in a first region of the semiconductor surface;activating a second portion of the electron beam generating array to selectively provide a second electron beam energy to the chemical species in a second region of the semiconductor surface;wherein the first electron beam energy interacts with the chemical species to form an etching species, and the second electron beam energy interacts with the chemical species to deposit a coating in the second region.
  • 2. The method of claim 1, wherein the first electron beam energy interacts with the chemical species to form a species to etch silicon oxide, and the second electron beam energy interacts with the chemical species to deposit a coating on silicon.
  • 3. The method of claim 1, wherein activating a portion of the electron beam generating array includes activating a portion of a carbon nanotube array.
  • 4. The method of claim 1, wherein introducing the chemical species includes introducing a gas to a semiconductor surface.
  • 5. The method of claim 4, wherein introducing the gas includes introducing a gas with molecules that include both a halogen and carbon.
  • 6. The method of claim 1, wherein introducing the chemical species includes introducing a plasma to a semiconductor surface.
  • 7. The method of claim 1, wherein depositing the coating in the second region includes depositing a carbon containing coating.
  • 8. A method of semiconductor processing, comprising: introducing a gas to a semiconductor surface within a processing chamber;activating a carbon nanotube emitter to generate an electron beam;exposing the gas and the semiconductor surface to the electron beam;at least partially dissociating the gas to form an etching species to react with a first region of the semiconductor surface;concurrently depositing a coating on a second region of the semiconductor surface; andconcurrently imaging the surface using the electron beam as a scanning electron microscope.
  • 9. The method of claim 8, wherein at least partially dissociating the gas to form the etching species includes at least partially dissociating the gas to form a halogen containing species.
  • 10. The method of claim 9, wherein at least partially dissociating the gas to form the halogen containing species includes at least partially dissociating the gas to form a fluorine containing species.
  • 11. The method of claim 8, wherein concurrently depositing the coating includes concurrently depositing a carbon containing coating.
  • 12. The method of claim 8, wherein introducing the gas to the semiconductor surface includes introducing a gas with molecules that include both a halogen and carbon.
  • 13. The method of claim 12, wherein introducing the gas to a semiconductor surface includes introducing CHF3 gas to the semiconductor surface.
  • 14. The method of claim 13, further including introducing a selected amount of H2 gas to control a ratio of carbon to fluorine in the coating.
  • 15. A method of forming a semiconductor memory device, comprising: processing a semiconductor surface to form a number of electronic structures including: forming a number of memory cells on a semiconductor surface;forming circuitry to couple the number of memory cells together;wherein processing a semiconductor surface includes: introducing a chemical species to a semiconductor surface within a processing chamber;activating a first portion of an electron beam generating array to selectively provide a first electron beam energy to the chemical species in a first region of the semiconductor surface;activating a second portion of the electron beam generating array to selectively provide a second electron beam energy to the chemical species in a second region of the semiconductor surface;wherein the first electron beam energy interacts with the chemical species to form an etching species, and the second electron beam energy interacts with the chemical species to deposit a coating in the second region.
  • 16. The method of claim 15, wherein the first electron beam energy interacts with the chemical species to form a species to etch silicon oxide, and the second electron beam energy interacts with the chemical species to deposit a coating on silicon.
  • 17. The method of claim 15, wherein activating a portion of the electron beam generating array includes activating a portion of a carbon nanotube array.
  • 18. The method of claim 15, wherein introducing the chemical species to the semiconductor surface includes introducing a chemical species with molecules that include both a halogen and carbon.
  • 19. The method of claim 18, wherein introducing the chemical species to a semiconductor surface includes introducing CHF3 to the semiconductor surface.
  • 20. A method of forming an electronic system, comprising: processing a semiconductor surface to form a semiconductor memory having a number of electronic structures including: forming a number of memory cells on the semiconductor surface;forming circuitry to couple the number of memory cells together;wherein processing the semiconductor surface includes: introducing a chemical species to a semiconductor surface within a processing chamber;activating a first portion of an electron beam generating array to selectively provide a first electron beam energy to the chemical species in a first region of the semiconductor surface;activating a second portion of the electron beam generating array to selectively provide a second electron beam energy to the chemical species in a second region of the semiconductor surface;wherein the first electron beam energy interacts with the chemical species to form an etching species, and the second electron beam energy interacts with the chemical species to deposit a coating in the second region; andcoupling a controller to the semiconductor memory.
  • 21. The method of claim 20, wherein coupling a controller to the semiconductor memory includes coupling a personal computer processor to the semiconductor memory.
  • 22. The method of claim 20, wherein forming the number of memory cells on the semiconductor surface includes forming a number of flash memory cells on the semiconductor surface.
  • 23. The method of claim 20, wherein introducing the gas to the semiconductor surface includes introducing a gas with molecules that include both a halogen and carbon.
  • 24. The method of claim 23, further including introducing a selected amount of scavenger gas to remove halogen species and control a ratio of carbon to halogen in the coating.
US Referenced Citations (125)
Number Name Date Kind
4260649 Dension et al. Apr 1981 A
4543486 Rose Sep 1985 A
4579750 Bowen et al. Apr 1986 A
4581248 Roche Apr 1986 A
4624736 Gee et al. Nov 1986 A
4655849 Schachameyer et al. Apr 1987 A
4668304 Schachameyer et al. May 1987 A
4670063 Schachameyer et al. Jun 1987 A
4670064 Schachameyer et al. Jun 1987 A
4685976 Schachameyer et al. Aug 1987 A
4694777 Roche Sep 1987 A
4832781 Mears May 1989 A
4933206 Cox Jun 1990 A
4938996 Ziv et al. Jul 1990 A
4940505 Schachameyer et al. Jul 1990 A
4980198 Dowben et al. Dec 1990 A
5032435 Biefeld et al. Jul 1991 A
5047649 Hodgson et al. Sep 1991 A
5102830 Sandhu Apr 1992 A
5140164 Talbot et al. Aug 1992 A
5155053 Atkinson Oct 1992 A
5164222 Gottsleben et al. Nov 1992 A
5326981 Hara et al. Jul 1994 A
5387443 Ota et al. Feb 1995 A
5403433 Morrison et al. Apr 1995 A
5429730 Nakamura et al. Jul 1995 A
5438019 Sandhu Aug 1995 A
5472935 Yandrofski et al. Dec 1995 A
5508368 Knapp et al. Apr 1996 A
5622567 Kojima et al. Apr 1997 A
5639342 Chen et al. Jun 1997 A
5641545 Sandhu Jun 1997 A
5648114 Paz De Araujo et al. Jul 1997 A
5682041 Kawakubo et al. Oct 1997 A
5733609 Wang Mar 1998 A
5754297 Nulman May 1998 A
5759923 McMillan et al. Jun 1998 A
5800617 Sandhu Sep 1998 A
5807650 Komano et al. Sep 1998 A
5825035 Mizumura et al. Oct 1998 A
5834331 Razeghi Nov 1998 A
5942854 Ryoji et al. Aug 1999 A
5976328 Azuma et al. Nov 1999 A
5985693 Leedy Nov 1999 A
5989928 Nakata et al. Nov 1999 A
6051287 Marsh Apr 2000 A
6064800 Sandhu May 2000 A
6091071 Franz et al. Jul 2000 A
6113751 Morgenthaler Sep 2000 A
6143085 Marsh Nov 2000 A
6177147 Samukawa et al. Jan 2001 B1
6187492 Ri et al. Feb 2001 B1
6214183 Maishev et al. Apr 2001 B1
6281072 Li et al. Aug 2001 B1
6291341 Sharan et al. Sep 2001 B1
6309972 Pio Oct 2001 B1
6310341 Todokoro et al. Oct 2001 B1
6462333 Gersonde Oct 2002 B1
6499425 Sandhu et al. Dec 2002 B1
6573199 Sandhu et al. Jun 2003 B2
6613702 Sandhu et al. Sep 2003 B2
6661005 Bruenger Dec 2003 B1
6683005 Sandhu et al. Jan 2004 B2
6720272 Sandhu et al. Apr 2004 B2
6730367 Sandhu May 2004 B2
6753538 Musil et al. Jun 2004 B2
6764856 Holmes et al. Jul 2004 B2
6787783 Marchman et al. Sep 2004 B2
6793736 Sandhu et al. Sep 2004 B2
6797337 Dando et al. Sep 2004 B2
6809317 Vandervorst Oct 2004 B2
6811615 Sun Nov 2004 B2
6838114 Carpenter et al. Jan 2005 B2
6838121 Weimer Jan 2005 B2
6845734 Carpenter et al. Jan 2005 B2
6869479 Shafeev et al. Mar 2005 B2
6897907 Morimitsu May 2005 B2
6911832 Kolachina et al. Jun 2005 B2
7113276 Higgs et al. Sep 2006 B1
7122125 Deshmukh et al. Oct 2006 B2
7238294 Koops et al. Jul 2007 B2
7256405 Nakasuji et al. Aug 2007 B2
7262555 Rueger et al. Aug 2007 B2
7303690 Amemiya et al. Dec 2007 B2
7311947 Dando et al. Dec 2007 B2
7452477 Koops et al. Nov 2008 B2
7569484 Rueger et al. Aug 2009 B2
20020173124 Joo Nov 2002 A1
20020182542 Choi et al. Dec 2002 A1
20030047691 Musil et al. Mar 2003 A1
20030170389 Sandhu Sep 2003 A1
20030201391 Shinada et al. Oct 2003 A1
20040036398 Jin Feb 2004 A1
20040048398 Liang et al. Mar 2004 A1
20040091638 Haight et al. May 2004 A1
20040097076 Iyer et al. May 2004 A1
20040113097 Marchman et al. Jun 2004 A1
20040124348 Utz et al. Jul 2004 A1
20040140437 Bukofsky et al. Jul 2004 A1
20040151991 Stewart et al. Aug 2004 A1
20050078462 Dando et al. Apr 2005 A1
20050087514 Koops et al. Apr 2005 A1
20050212092 Nishizawa Sep 2005 A1
20050253093 Gorski et al. Nov 2005 A1
20050266168 Poullos Dec 2005 A1
20060134920 Liang Jun 2006 A1
20060147814 Liang Jul 2006 A1
20060154477 Geng et al. Jul 2006 A1
20060183055 O'Neill et al. Aug 2006 A1
20060201911 Edelberg et al. Sep 2006 A1
20060228634 Bret et al. Oct 2006 A1
20060288937 Dando et al. Dec 2006 A1
20060289969 Dando et al. Dec 2006 A1
20070158303 Nasser-Ghodsi et al. Jul 2007 A1
20070158304 Nasser-Ghodsi et al. Jul 2007 A1
20070228002 Geng et al. Oct 2007 A1
20070228296 Mouttet Oct 2007 A1
20070257212 Mouttet Nov 2007 A1
20070278180 Williamson et al. Dec 2007 A1
20080006603 Williamson et al. Jan 2008 A1
20080006786 Williamson et al. Jan 2008 A1
20080009140 Williamson et al. Jan 2008 A1
20080038863 Rueger et al. Feb 2008 A1
20080038928 Rueger et al. Feb 2008 A1
20080038933 Rueger et al. Feb 2008 A1
Foreign Referenced Citations (3)
Number Date Country
09064030 Mar 1997 JP
WO-2008021363 Feb 2008 WO
WO-2008021363 Feb 2008 WO
Related Publications (1)
Number Date Country
20080038894 A1 Feb 2008 US