Embodiments of the disclosure generally relate to methods of forming a metal liner for interconnect structures. More particularly, embodiments of the disclosure are directed to methods of selectively depositing a metal liner having a gradient thickness.
Multiple challenges impede power and performance improvements when scaling transistors and interconnects to the 3 nm node, 2 nm node, 1.4 nm node, and beyond. Interconnects include metal lines that transfer current within the same device layer and metal vias that transfer current between layers. Pitch reduction narrows the width of both and increases resistance, and also increases the voltage drop across a circuit, throttling circuit speed and increasing power dissipation.
While transistor performance improves with scaling, the same cannot be said for interconnect metals. As dimensions shrink, interconnect via resistance can increase by a factor of 10. An increase in interconnect via resistance may result in resistive-capacitive (RC) delays that reduce performance and increase power consumption. A conventional copper interconnect structure includes a barrier layer and/or a metal liner deposited on the sidewalls of gap that provide a via the sidewalls made of a dielectric material, providing good adhesion and preventing the copper from diffusing into the dielectric layer. Barrier layers can typically be the largest contributor to via resistance due to high resistivity. Past approaches have focused on reducing the thickness of barrier layers or finding barrier layers with lower resistivity to decrease via resistance. Increased via resistance remains an issue, especially in smaller features when barrier layers on sidewalls form an increasing percentage of the via volume.
A metal liner deposited on a barrier layer adheres to the barrier layer and facilitates subsequent copper (Cu) fill in a gap between the sidewalls. Current approaches focus on selectively growing a metal liner on a via sidewall versus the via bottom with high selectivity in an attempt to reduce via resistance and Cu corrosion, though selective growth remains a challenge.
Accordingly, there is a need for methods for depositing material layers that improve performance of interconnects, for example, reducing via resistance and improving deposition selectivity.
Embodiments of the disclosure are directed to methods of forming a microelectronic device. In one or more embodiments, the methods comprise forming a dielectric layer on a substrate, the dielectric layer comprising at least one feature defining a gap including sidewalls and a bottom; selectively depositing a first self-assembled monolayer (SAM) on the bottom of the gap; forming a barrier layer on the dielectric layer; selectively depositing a second self-assembled monolayer (SAM) on the barrier layer and on the bottom of the gap; treating the microelectronic device with a plasma to remove a portion of the second self-assembled monolayer (SAM); selectively depositing a metal liner on the barrier layer on the sidewall; removing the second self-assembled monolayer (SAM); and performing a gap fill process on the metal liner.
So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.
As used in this specification and the appended claims, the term “substrate” and “wafer” are used interchangeably, both referring to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
A “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate (or otherwise generate or graft target chemical moieties to impart chemical functionality), anneal and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present disclosure, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates. Thus, for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface. What a given substrate surface comprises will depend on what films are to be deposited, as well as the particular chemistry used.
As used in this specification and the appended claims, the terms “reactive gas”, “precursor”, “reactant”, and the like, are used interchangeably to mean a gas that includes a species which is reactive with a substrate surface. For example, a first “reactive gas” may simply adsorb onto the surface of a substrate and be available for further chemical reaction with a second reactive gas.
Some embodiments of the disclosure provide methods for improving performance of interconnects. Interconnects comprise metal lines that transfer current within the same device layer, and metal vias that transfer current between layers. These lines and vias are formed with conductive metal such as copper or cobalt in gaps formed within the device. In one or more embodiments, a dielectric layer comprises at least one feature defining a gap including sidewalls and a bottom. In one or more embodiments, the gap comprises the metal lines and the metal vias. In one or more embodiments, the metal lines have a sidewall and a bottom. In one or more embodiments, the metal vias have a sidewall and a bottom. As used in this specification and the appended claims, unless specified otherwise, reference to the “bottom of the gap” is intended to mean the bottom of the metal via, which is nearest the substrate.
Embodiments of the disclosure provide methods of forming interconnect structures in the manufacture of microelectronic devices. In one or more embodiments, microelectronic devices described herein comprise at least one top interconnect structure that is interconnected to at least one bottom interconnect structure. Embodiments of the disclosure provide microelectronic devices and methods of manufacturing microelectronic devices that improve performance of interconnects, for example, reducing via resistance.
Methods of forming microelectronic devices are described herein with reference to
In
In one or more embodiments, the substrate 110 is a wafer, for example a semiconductor substrate. In one or more embodiments, the substrate 110 is an etch stop layer on a wafer. In one or more embodiments, the substrate 110 is an aluminum oxide etch stop layer on a wafer. In one or more embodiments, the barrier layer 120 comprises tantalum nitride (TaN). In one or more embodiments, the barrier layer 120 comprises tantalum nitride (TaN) formed by ALD. In one or more embodiments, the metal layer 130 comprises one or more of ruthenium (Ru), cobalt (cobalt), molybdenum (Mo), or tantalum (Ta). In one or more embodiments, the metal layer 130 comprises one or more of a single layer of ruthenium (Ru) or a single layer of cobalt (Co). In one or more embodiments, a portion of the metal layer 130 is etched. In one or more embodiments, a SAM 150 is deposited on the portion of the metal layer 130 that is etched. In one or more embodiments, the conductive filled gap 140 comprises one or more of copper (Cu) or cobalt (Co). It will be appreciated that in one or more embodiments, the conductive filled gap 140 forms a metal line that transfers current within the same device layer. In one or more embodiments, the etch stop layer 142 comprises one or more of aluminum oxide, silicon nitride and aluminum nitride.
In one or more embodiments, the dielectric layer 145 is a low-k dielectric layer. In certain embodiments, the dielectric layer 145 comprises silicon oxide (SiOx). In one or more embodiments, the dielectric layer 145 comprises SiOxHy(CHz). Further embodiments provide that the dielectric layer 145 comprises porous or carbon-doped SiOx. In some embodiments, the dielectric layer 145 is a porous or carbon-doped SiOx layer with a k value less than about 5. In other embodiments, the dielectric layer 145 is a multilayer structure. For example, in one or more embodiments, the dielectric layer 145 comprises a multilayer structure having one or more of a dielectric layer, an etch stop layer, and a hard mask layer.
In one or more embodiments, the dielectric layer 145 comprises at least one feature defining a gap 146 including sidewalls 148 and a bottom 149. The Figures show substrates having a single feature for illustrative purposes; however, those skilled in the art will understand that there can be more than one feature. The shape of the feature can be any suitable shape including, but not limited to, trenches, cylindrical vias that, when filled with metal, transfer current between layers, and lines that transfer current within the same device layer. In some embodiments, the feature defines a gap 146 in the dielectric layer 145. The gap 146 in some embodiments defines a via portion 146V and a line portion 146L, but the embodiments shown are not intended to be limiting. As used herein, the term “feature” means any intentional surface irregularity. Suitable examples of features include but are not limited to trenches which have a top, two sidewalls and a bottom, peaks which have a top and two sidewalls. Features can have any suitable aspect ratio (ratio of the depth of the feature to the width of the feature). In some embodiments, the aspect ratio is greater than or equal to about 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 or 40:1.
In some embodiments, the pre-clean process includes etching the metal layer 101 with dilute hydrofluoric acid (dilute HF), including greater than 100:1, such as 130:1 dilute HF, to remove the metal layer 101. In some embodiments, the pre-clean process may include a conventional plasma etch, or a remote plasma-assisted dry etch process. In a remote plasma-assisted dry etch process, the device is exposed to H2, NF3, and/or NH3 plasma species, e.g., plasma-excited hydrogen and fluorine species. For example, in some embodiments, the device may undergo simultaneous exposure to H2, NF3, and NH3 plasma. The remote plasma-assisted dry etch process may be performed in any suitable preclean chamber, which may be integrated into one of a variety of multi-processing platforms.
The wet etch process may include a hydrofluoric (HF) acid last process, i.e., the so-called “HF last” process, in which HF etching of surface is performed that leaves surface hydrogen-terminated. Alternatively, any other liquid-based pre-epitaxial pre-clean process may be employed. The etch process can be plasma or thermally based. The plasma processes can be any suitable plasma (e.g., conductively coupled plasma, inductively coupled plasma, microwave plasma). In some embodiments, removal of the metal layer 101 results in exposure of a top surface of the metal layer 130.
It was discovered that use of an unsaturated hydrocarbon SAM 150 improved Cu interconnect via resistance by minimizing via bottom metal liner growth with selective deposition of a metal liner on via sidewall rather than via bottom. Embodiments of the disclosure provide methods to selectively grow a metal liner, such as a Ru liner, on via sidewall versus the via bottom with high selectivity (e.g., the ratio of the sidewall liner thickness to bottom liner thickness is greater than 3, greater than 4, greater than 5, greater than 6, greater than 7, greater than 8, greater than 9 or greater than 10). Selection of SAM chemistry and a process enables metal (e.g., Ru) nucleation and growth only at via sidewall, not on the via bottom. Thinner or no metal (e.g., Ru) growth on via bottom reduces via resistance and Cu corrosion. Other liner materials include cobalt (Co), molybdenum (Mo), and tantalum (Ta). In specific embodiments, a SAM chemistry/process which can suppress metal liner growth at the via bottom (for example, less than 10 Angstroms, less than 5 Angstroms, less than 4 Angstroms, less than 3 Angstroms, less than 2 Angstroms or less than 1 Angstrom) and maintain metal liner growth at via sidewall (for example, 5 Angstroms or greater or 10 Angstroms or greater). The SAM chemistry facilitates achievement of selectivity on via bottom (e.g., Cu, Co, W) versus the via sidewall (e.g., TaN).
In some embodiments, the pressure of the processing chamber is controlled. The pressure of the processing chamber may be any suitable pressure for forming the SAM 150. In some embodiments, the pressure of the processing chamber is maintained at less than or equal to about 80 Torr, less than or equal to about 70 Torr, less than or equal to about 60 Torr, less than or equal to about 50 Torr, less than or equal to about 40 Torr, less than or equal to about 30 Torr, less than or equal to about 20 Torr, less than or equal to about 15 Torr, less than or equal to about 10 Torr, or less than or equal to about 5 Torr. In some embodiments, the pressure of the processing chamber is maintained at about 10 Torr, about 20 Torr, about 30 Torr, about 40 Torr, or about 50 Torr.
In one or more embodiments, a flow of argon (Ar) gas is configured to carry the unsaturated hydrocarbon from a container to the processing chamber. In some embodiments, the flow rate of the argon (Ar) gas that is configured to carry the unsaturated hydrocarbon into the processing chamber is controlled. The flow rate of the argon (Ar) gas may be any suitable flow rate for forming the passivation layer. In some embodiments, the flow rate of the argon (Ar) gas is in a range of about 50 sccm to about 100 sccm, or in a range of about 75 sccm to about 100 sccm. In one or more embodiments, the flow rate of the argon (Ar) gas is about 600 sccm. In some embodiments, the flow rate of the argon (Ar) gas is less than or equal to about 600 sccm, less than or equal to about 500 sccm, less than or equal to about 400 sccm, less than or equal to about 300 sccm, less than or equal to about 250 sccm, less than or equal to about 200 sccm, less than or equal to about 150 sccm, less than or equal to about 100 sccm, less than or equal to about 75 sccm, or less than or equal to about 50 sccm.
In some embodiments, the soak period, during which the unsaturated hydrocarbon is exposed to the substrate, is controlled. The soak period may be any suitable period for forming the SAM 150. In some embodiments, the soak period is from 1 to 200 s, for example from 1 to 10 s, greater than or equal to about 10 s, greater than or equal to about 20 s, greater than or equal to about 30 s, greater than or equal to about 45 s, greater than or equal to about 60 s, greater than or equal to about 80 s, greater than or equal to about 120 s, greater than or equal to about 150 s, or greater than or equal to about 200 s. In some embodiments, the soak period is about 60 s. In some embodiments, the soak period is about 200 s.
In one or more embodiments, the unsaturated hydrocarbon is in a liquid phase when the unsaturated hydrocarbon is in a container, such as an ampoule or a cylinder, from which the unsaturated hydrocarbon is delivered to the chamber in a carrier gas. In some embodiments, the unsaturated hydrocarbon is in a saturated vapor phase in the container when the container has a pressure of about 0.1 torr. In one or more embodiments, the temperature of the container is lower than the temperature in the processing chamber. In one or more embodiments, a carrier gas such as argon (Ar) gas carries the saturated vapor phase unsaturated hydrocarbon from the container to the processing chamber. In some embodiments, a temperature of the processing chamber is controlled during exposure to the unsaturated hydrocarbon. The temperature of the processing chamber may also be referred to as the operating temperature. In some embodiments, the temperature of the processing chamber is in a range of about 150° C. to about 400° C., for example, 200° C. to about 300° C. In some embodiments, the temperature of the processing chamber is less than or equal to about 300° C., less than or equal to about 275° C., less than or equal to about 250° C., less than or equal to about 225° C., or less than or equal to about 200° C.
Referring to
In one or more embodiments, the barrier layer 160 is selectively deposited by atomic layer deposition (ALD), and has a thickness in a range of from about 2 Å to about 10 Å. In some embodiments, the barrier layer 160 is deposited in a single ALD cycle. In other embodiments, the barrier layer 160 is deposited in from 1 to 20 ALD cycles. In one or more embodiments, each cycle of the 1 to 20 ALD cycles is configured to deposit a thickness of about 0.5 Å of the barrier layer 160.
In one or more embodiments, when the barrier layer 160 formed on the bottom 149 and the sidewalls 148, there is a ratio of the thickness of the barrier layer 160 thickness on the sidewalls 148 to the thickness of the barrier layer 160 thickness on the bottom 149, the ratio being greater than 6. In one or more, the ratio is greater than 5, greater than 4, greater than 3, greater than 2, or greater than 1. In one or more embodiments, when the SAM 150 is present, the barrier layer 160 has a thickness in a range of from 5 Angstroms to 20 Angstroms on the sidewalls 148. In one or more embodiments, the barrier layer 160 has a thickness of less than or equal to 5 Angstroms on the bottom 149. In one or more embodiments, the barrier layer 160 has a thickness of less than or equal to 4 Angstroms, less than or equal to 3 Angstroms, less than or equal to 2 Angstroms, or less than or equal to 1 Angstrom on the bottom 149. In one or more embodiments, the barrier layer 160 does not form on the bottom 149.
The plasma source can be an inductively coupled plasma (ICP) with gases hydrogen (H2), helium (He) and/or argon (Ar). The plasma can be remote or direct plasma to the semiconductor substrate 110. The wafer processing conditions may be any suitable processing condition known to the skilled artisan. The wafer temperature may be in a range of from room temperature to 350° C. In some embodiments, the pressure is in a range of from 0.2 mtorr to 100 mtorr. In some embodiments, the process time is in a range of from 5 seconds to 60 seconds. Typically, one process condition, for example, can be ICP remote plasma by H2 and Ar under 50 mTorr for 30 seconds.
The plasma source can be a capacitively coupled plasma (CCP) with gases hydrogen (H2), helium (He) and/or argon (Ar). The plasma can be remote or direct plasma to the semiconductor substrate 110. The wafer temperature may be in a range of from room temperature to 350° C. In some embodiments, the pressure is in a range of from 100 mtorr to 20,000 mtorr. In some embodiments, the process time is in a range of from 5 seconds to 60 seconds. In some embodiments, for example, the process may include gas injection or gas pulsing process for depletion mode applications. Typically, one process condition can be CCP direct plasma by H2 and Ar under 1000 mTorr for 30 s with H2 gas pulsing injection (e.g., 1 second per cycle).
The plasma source can be a remote plasma source (RPS) unit with gases hydrogen (H2), helium (He) and/or argon (Ar). The wafer temperature may be in a range of from room temperature to 350° C. In some embodiments, the pressure is in a range of from 0.2 mtorr to 2,000 mtorr. In some embodiments, the process time is in a range of from 5 seconds to 60 seconds. Typically, one process condition can be RPS remote plasma by H2 and He under 300 mTorr for 30 seconds.
The metal liner 180 comprises one or more of ruthenium (Ru), cobalt (cobalt), molybdenum (Mo), and tantalum (Ta). In some embodiments, the metal liner 180 comprises a single layer of ruthenium (Ru).
Embodiments of the disclosure advantageously provide methods of forming microelectronic devices having reduced via resistance and reduced Cu corrosion. Some of the disclosure advantageously provide methods of forming microelectronic devices having improved copper (Cu) reflow capabilities. Embodiments of the disclosure advantageously provide methods of forming microelectronic devices which reduce a resistance of a via by at least 20% as compared to a resistance of a via in a microelectronic device where a metal liner is not selectively deposited. In one or more embodiments, the resistance of the vias of microelectronic devices described herein is reduced by at least 15%, at least 10% or at least 5% as compared to a resistance of a via in a microelectronic device where a metal liner is not selectively deposited.
It has been discovered that selectively depositing a single layer of ruthenium (Ru) according to embodiments of the methods described herein increases a ratio of the thickness of the metal liner thickness on the sidewalls to the thickness of the metal liner thickness on the bottom. In one or more embodiments, the thickness of the metal liner thickness on the sidewalls is greater than the thickness of the metal liner on the bottom.
In one or more embodiments, when the metal liner 180 comprises a single layer of ruthenium (Ru) selectively deposited on the sidewall 148, there is a ratio of the thickness of the metal liner thickness on the sidewalls 148 to the thickness of the metal liner 180 thickness on the bottom 149, the ratio being greater than 3. In one or more embodiments, the ratio of the thickness of the metal liner thickness on the sidewalls 148 to the thickness of the metal liner thickness on the bottom 149 is greater than 4, greater than 5, greater than 6 or greater than 7. In one or more embodiments, the metal liner 180 does not form on the bottom 149.
In one or more embodiments, when the metal liner 180 comprises a single layer of selectively deposited ruthenium (Ru), the metal liner 180 has a thickness in a range of from 5 Angstroms to 20 Angstroms on the sidewalls 148. In one or more embodiments, when the metal liner 180 comprises a single layer of selectively deposited ruthenium (Ru), the metal liner 180 has a thickness of less than or equal to 5 Angstroms on the bottom 149. In one or more embodiments, when the metal liner 180 comprises a single layer of selectively deposited ruthenium (Ru), the metal liner 180 has a thickness of less than or equal to 4 Angstroms, less than or equal to 3 Angstroms, less than or equal to 2 Angstroms, or less than or equal to 1 Angstrom on the bottom 149.
In one or more embodiments, the metal liner 180 comprising ruthenium (Ru) is selectively deposited by a selective ruthenium (Ru) deposition process. The selective ruthenium (Ru) deposition on the sidewall comprises a cyclic deposition process that includes a ruthenium deposition step using a ruthenium (Ru) precursor carried by a carrier gas, such as an argon (Ar) gas. In one or more embodiments, the selective ruthenium (Ru) deposition further comprises an annealing or treatment step that is performed while flowing hydrogen (H2) and optionally a second gas, for example, argon (Ar). In one or more embodiments, the selective ruthenium (Ru) deposition is performed in a substrate processing chamber in which the deposition step is performed while the chamber is at a first pressure, and the annealing step is performed while the substrate processing chamber at a second pressure that is greater than the first pressure. In one or more embodiments, the first pressure is in a range of from 1 torr to 5 torr. In some embodiments, the first pressure is in a range of from 1 torr to 4 torr, or a range of from 1 torr to 3 torr. In one or more embodiments, the second pressure is in a range of from 10 torr to 150 torr. In some embodiments, the second pressure is in a range of from 10 torr to 40 torr, or in a range of from 10 torr to 30 torr. Thus, according to one or more embodiments, a cyclic deposition process includes a deposition step and annealing/treatment step. In the deposition step, a ruthenium precursor (e.g., any suitable metalorganic precursor, for example, Cyclohexadienyl ruthenium tricarbonyl, Ru3(CO)9) is flowed in carrier gas and reactant gas (e.g., Ar and/or H2) for 2-10 seconds, e.g., 3-6 seconds to form a deposited ruthenium layer. In the annealing or treatment step, the deposited ruthenium layer is annealed or treated in the presence of a flowing gas (e.g., >90% H2 and a second gas such as Ar) for 30-90 seconds, for example, 40-70 seconds. This cyclic deposition processes comprising cycles of a deposition step and an annealing or treatment step is repeated multiple times to obtain a desired film thickness.
In some embodiments, a two-metal liner film comprises an alloy of two metals M1 and M2 in a single layer. In one or more embodiments, the two metals comprise two metals selected from the group consisting of (M1) Co and (M2) tantalum (Ta); (M1) Co and (M2) molybdenum (Mo); (M1) Ru and (M2) Ta; (M1) Ru and (M2) W; (M1) Ru and (M2) Mo; (M1) Co and (M2) Ru; and (M1) Ru and (M2) Co. In one or more embodiments, the two-metal liner film has a thickness of less than 20 Angstroms. In some embodiments, the two-metal liner film formed as a single layer has a gradient thickness. In some embodiments, the metal liner 180 comprises the two-metal liner film formed as a single layer having a gradient thickness.
According to one or more embodiments, the two-metal liner film can be formed by various deposition methods, including alternating and/or co-flow precursors by ALD/CVD/PE-ALD, precursors with multi-metal ligands, dopant implanting, and or thermal diffusion. The two-metal liner film can be formed in a single processing chamber or in multiple processing chambers. In one or more embodiments, the two-metal liner film can be treated by various methods, including thermal treatment, plasma treatment and/or chemical treatment.
Advantageously, the two-metal liner films according to one or more embodiments, which are ultra-thin (e.g., having a thickness of 20 Angstroms or less), provide better interfacial adhesion and mobility between two metals such as a barrier layer and a gap fill metal. The two-metal liner films and methods described according to one or more embodiments, can be used in metal contact, interconnect, and capping applications. The two-metal liner films according to one or more embodiments are thinner than current liners, which are typically greater than 20 Angstroms and up to 30 Angstroms. In some embodiments, liner films comprised of two metals have a thickness in a range of from 10 Angstroms to 20 Angstroms, from 10 Angstroms to 19 Angstroms, 10 Angstroms to 18 Angstroms, 10 Angstroms to 17 Angstroms, 10 Angstroms to 16 Angstroms, 10 Angstroms to 15 Angstroms, 10 Angstroms to 14 Angstroms, 10 Angstroms to 13 Angstroms or 10 Angstroms to 12 Angstroms. The two-metal liner films described herein can extend the metal fill and capping to advance nodes, such as enabling Cu reflow in 3 nm/2 nm/1.4 nm node and beyond, low resistivity in the middle of the line (MOL) and back end of line (BEOL), and memory. The methods described herein can also simplify the current complicated integration system to one chamber or multi chamber process involving CVD/ALD/PVD/PEALD/ion implantation.
In one or more embodiments, the barrier layer and/or metal film may be deposited via ALD. In a typical ALD process, alternating pulses or flows of “A” precursor and “B” precursor can be used to deposit a film. The alternating exposure of the surface to reactants “A” and “B” is continued until the desired thickness film is reached. However, instead of pulsing the reactants, the gases can flow simultaneously from one or more gas delivery head or nozzle and the substrate and/or gas delivery head can be moved such that the substrate is sequentially exposed to each of the reactive gases. Of course, the aforementioned ALD cycles are merely exemplary of a wide variety of ALD process cycles in which a deposited layer is formed by alternating layers of precursors and co-reactants.
In one or more embodiments, the co-reactants are in vapor or gas form. The reactants may be delivered with a carrier gas. A carrier gas, a purge gas, a deposition gas, or other process gas may contain nitrogen, hydrogen, argon, neon, helium, or combinations thereof. The various plasmas described herein, such as the nitrogen plasma or the inert gas plasma, may be ignited from and/or contain a plasma co-reactant gas.
In one or more embodiments, the various gases for the process may be pulsed into an inlet, through a gas channel, from various holes or outlets, and into a central channel. In one or more embodiments, the deposition gases may be sequentially pulsed to and through a showerhead. Alternatively, as described above, the gases can flow simultaneously through gas supply nozzle or head and the substrate and/or the gas supply head can be moved so that the substrate is sequentially exposed to the gases.
In one or more embodiments, the barrier layer material and liner film are deposited using a multi-chamber process with separation of the barrier layer material (e.g., tantalum nitride (TaN)) and the metal liner film. In other embodiments, a single chamber approach is used, with all processes occurring within one chamber and the different layers/films separated in processing by gas purges.
Some embodiments of the disclosure are directed to barrier applications, e.g., copper barrier applications. The barrier layer formed by one or more embodiments may be used as a copper barrier. Suitable barrier layers for copper barrier applications include, but are not limited to, TaN and MnN. For copper barrier applications, suitable dopants include, but are not limited to, Ru, Cu, Co, Mn, Al, Ta, Mo, Nb, V, or combinations thereof. A plasma treatment can be used after doping to promote the intermetallic compound formation between the matrix and dopant, as well as removing film impurities and improving the density of the barrier layer. In other embodiments, post treatment can include, but is not limited to, physical vapor deposition (PVD) treatment, thermal anneal, chemical enhancement, or the like. In some copper barrier applications, a high frequency plasma (defined as greater than about 14 MHz or about 40 MHz or greater) can be used with any inert gas, including, but not limited to, one or more of neon (Ne), hydrogen (H2), and argon (Ar) gas. In one or more embodiments, to prevent low-k damage, a higher plasma frequency can be used (higher than 13.56 MHZ). In some embodiments, the barrier layer is a copper barrier and comprises TaN doped with Ru.
Suitable precursors for depositing a liner layer, such as metal liner 180, include metal-containing precursors such as carbonyl-containing and cyclopentadiene-containing precursors. In a non-limiting example, if the liner layer is RuCo, the Ru-containing precursor may be triruthenium dodecacarbonyl Ru3(CO)12 and the Co-containing precursor may be dicobalt hexacarbonyl tertbutylacetylene (CCTBA). If the liner layer is TaRu, the Ta-containing precursor may be pentakis(dimethlamino) tantalum (PDMAT). Other suitable precursors are known to those skilled in the art. Organic species in organic-containing precursors for liner layers may get partially incorporated into the underlying layer (such as a barrier or dielectric layer), which may increase the adhesion at the liner layer-underlying layer interface.
As used herein, “chemical vapor deposition” refers to a process in which a substrate surface is exposed to precursors and/or co-reagents simultaneous or substantially simultaneously. As used herein, “substantially simultaneously” refers to either co-flow or where there is overlap for a majority of exposures of the precursors.
The metal liner film can be formed by depositing alternating layer two metals or co-reacting two metal precursors by CVD, PVD or ALD. Depending on the liner metals used, a co-reactant or co-precursor may be used to deposit the liner film. In one or more embodiments, ion implantation may be used for incorporating a second metal into a liner film comprised of a first metal. In other embodiments, physical vapor deposition (PVD) co-treatment may be used to add a second metal into the doped liner film formed over the barrier layer. In further embodiments, the liner film may be annealed inside an atmosphere comprising the second metal to thermally diffuse the second metal into the liner film of the first metal to form a liner film over the barrier layer.
In some embodiments, instead of or in addition to using a co-reactant, a post-plasma treatment step may be used after exposing the liner film comprising the first metal to the optional second metal precursor. According to one or more embodiments, the plasma comprises any suitable inert gas known to the skilled artisan. In one or more embodiments, the plasma comprises one or more of helium (He), argon (Ar), ammonia (NH3), hydrogen (H2), and nitrogen (N2). In some embodiments, the plasma may comprise a mixture of Ar and H2, such as a mixture having an Ar:H2 molar ratio in the range from 1:1 to 1:15. The plasma power may be in the range from about 200 to about 1000 Watts. The plasma frequency may be in the range from 350 kHz to 40 MHz. The plasma treatment time may vary from 5 second to 60 seconds, such as in the range from 10 seconds to 30 seconds. In some embodiments, the pressure during plasma treatment may be in the range from 0.5 to 50 Torr, such as from 1 to 10 Torr. In some embodiments, the wafer spacing may be in the range from 100 mils to 600 mils.
In one or more embodiments, the liner film comprising the first metal may be exposed to the second metal precursor during deposition, i.e., the second metal precursor may be used sequentially in an ALD cycle to provide a liner film comprised of two metals on the barrier layer. In various embodiments, the duration of the exposure to the second metal-containing precursor may range from 1 to 60 seconds, such as in the range from 3 to 30 seconds or from 5 to 10 seconds.
In one or more embodiments, a gap fill process comprises filling the gap 146 shown in
In one or more embodiments, the methods described herein comprise an optional post-processing operation. The optional post-processing operation can be, for example, a process to modify film properties (e.g., annealing) or a further film deposition process (e.g., additional ALD or CVD processes) to grow additional films. In some embodiments, the optional post-processing operation can be a process that modifies a property of the deposited film. In some embodiments, the optional post-processing operation comprises annealing the as-deposited film. In some embodiments, annealing is done at temperatures in the range of about 300° C., 400° C., 500° C., 600° C., 700° C., 800° C., 900° C. or 1000° C. The annealing environment of some embodiments comprises one or more of an inert gas (e.g., molecular nitrogen (N2), argon (Ar)) or a reducing gas (e.g., molecular hydrogen (H2) or ammonia (NH3)) or an oxidant, such as, but not limited to, oxygen (O2), ozone (O3), or peroxides. Annealing can be performed for any suitable length of time. In some embodiments, the film is annealed for a predetermined time in the range of about 15 seconds to about 90 minutes, or in the range of about 1 minute to about 60 minutes. In some embodiments, annealing the as-deposited film increases the density, decreases the resistivity and/or increases the purity of the metal liner layers.
In some embodiments, the substrate is moved from a first chamber to a separate, next chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. In some embodiments, the deposition of the barrier layer and the dopant film can be done in a single chamber, and then the post-processing can be performed in a separate chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system”, and the like.
Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclic deposition including a deposition step, and an annealing or treatment step, atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.
According to one or more embodiments, the substrate is continuously under vacuum or “load lock” conditions and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants (e.g., reactant). According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants (e.g., reactant) from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
The substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed. The substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrates are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent to the substrate surface to convectively change the substrate temperature.
The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated (about the substrate axis) continuously or in discrete steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
Another aspect of the disclosure pertains to a non-transitory computer readable medium including instructions, that, when executed by a controller of a processing system, causes the processing system to perform operations of the methods described herein. In one embodiment, a non-transitory computer readable medium including instructions, that, when executed by a controller of a processing system, causes the processing system to perform operations of the methods described herein with respect to
Reference throughout this specification to “one embodiment,” “certain embodiments,” “one or more embodiments” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
Although the disclosure herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure include modifications and variations that are within the scope of the appended claims and their equivalents.
This application claims priority to U.S. Provisional Application No. 63/456,096, filed Mar. 31, 2023, the entire disclosure of which is hereby incorporated by reference herein.
Number | Date | Country | |
---|---|---|---|
63456096 | Mar 2023 | US |