HALOGEN-AND ALIPHATIC-CONTAINING ORGANOTIN PHOTORESISTS AND METHODS THEREOF

Abstract
The present disclosure relates to a composition formed with a precursor including a C1-4 haloaliphatic or C1-4 aliphatic group or vinyl group (—CH═CH2) and other unsaturated substituents, as well as methods for forming and employing such compositions. In particular embodiments, the haloaliphatic group is a C1-2 haloalkyl group, which in turn provides a resist film having enhanced radiation absorptivity and/or minimal film shrinkage (e.g., upon radiation exposure and/or post-exposure bake). In other embodiments, the aliphatic group is a C1-2 alkyl or vinyl group and other unsaturated substituents, which can be dry deposited. In non-limiting embodiments, the radiation can include extreme ultraviolet (EUV) or deep ultraviolet (DUV) radiation.
Description
FIELD

The present disclosure relates to a composition formed with a precursor including a C1-4 haloaliphatic or C1-4 aliphatic group or vinyl group (—CH═CH2) and other unsaturated substituents, as well as methods for forming and employing such compositions. In particular embodiments, the haloaliphatic group is a C1-2 haloalkyl group, which in turn provides a resist film having enhanced radiation absorptivity and/or minimal film shrinkage (e.g., upon radiation exposure and/or post-exposure bake). In other embodiments, the aliphatic group is a C1-2 alkyl or vinyl group and other unsaturated substituents, which can be dry deposited. In non-limiting embodiments, the radiation can include extreme ultraviolet (EUV) or deep ultraviolet (DUV) radiation.


BACKGROUND

The background description provided herein is for the purpose of generally presenting the context of the present technology. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present technology.


Patterning of thin films in semiconductor processing is often an important step in the fabrication of semiconductors. Patterning involves lithography. In conventional photolithography, such as 193 nm photolithography, patterns are printed by emitting photons from a photon source onto a mask and printing the pattern onto a photosensitive photoresist, thereby causing a chemical reaction in the photoresist that, after development, removes certain portions of the photoresist to form the pattern.


Advanced technology nodes (as defined by the International Technology Roadmap for Semiconductors) include nodes 22 nm, 16 nm, and beyond. In the 16 nm node, for example, the width of a typical via or line in a Damascene structure is typically no greater than about 30 nm. Scaling of features on advanced semiconductor integrated circuits (ICs) and other devices is driving lithography to improve resolution.


Extreme ultraviolet (EUV) lithography can extend lithography technology by moving to smaller imaging source wavelengths than would be achievable with conventional photolithography methods. EUV light sources at approximately 10-20 nm, or 11-14 nm wavelength, for example 13.5 nm wavelength, can be used for leading-edge lithography tools, also referred to as scanners. EUV radiation is strongly absorbed in a wide range of solid and fluid materials including quartz, air, and water vapor, and so operates in a vacuum.


SUMMARY

The present disclosure relates to use of a precursor having a C1-4 haloaliphatic or C1-4 aliphatic or C2 (vinyl) and other unsaturated substituents. In particular embodiments, the haloaliphatic group provides a haloalkyl group within a deposited film, in which the halogen atoms can provide enhanced radiation absorptivity and reactivity (as compared to hydrogen atoms present within a non-halogenated alkyl group).


Such haloaliphatic and aliphatic groups can also be characterized as being relatively small, e.g., as in a C1-2 haloalkyl group or a C1-2 alkyl group. Use of such groups can lead to higher film packing, which can be characterized by an increase in film density (as compared to the use of a precursor having bulky alkyl group, such as a branched, C4 tert-butyl group). In some embodiments, such small groups can facilitate an all dry processes (e.g., dry deposition, dry development, and dry etching) due to the high volatility of precursors and etch byproducts. In particular, use of dry processes can eliminate the need for the requirement that the resist film is soluble such as in a solvent-based development process.


Furthermore, use of such haloaliphatic and aliphatic groups can provide reduced film shrinkage upon radiation exposure or upon post-exposure bake. For instance, upon exposure to radiation and/or heat, such haloaliphatic or aliphatic groups are generally cleaved or reacted, thereby providing increased contrast in material properties between exposed and unexposed regions. Cleavage of such groups can create a void within the film, which in turn can result in radiation- and bake-induced shrinkage effects. By employing relatively small haloaliphatic and aliphatic groups, such shrinkage effects can be minimized. Accordingly, the present disclosure encompasses the use of precursors having relatively small haloaliphatic and aliphatic groups to provide films having enhanced radiation sensitivity, improved patterning quality (e.g., having improved line-width-roughness (LWR) and/or line-edge-roughness (LER)), increased film density, decreased dose to size (DtS), and/or minimized film shrinkage upon exposure to radiation, heat, or other post-patterning processes (e.g., etching).


In a first aspect, the present disclosure encompasses a patterning radiation-sensitive film including an organometal-oxo material. In some embodiments, the material includes a metal, an oxygen, and a C1-4 haloaliphatic or C1-4 aliphatic. In other embodiments, the C1-4 aliphatic is selected from C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl. In yet other embodiments, the C1-4 haloaliphatic is selected from C1-4 haloalkyl, C2-4 haloalkenyl, and C2-4 haloalkynyl. In some embodiments, the material is a haloalkylmetal-oxo material or a C1-2 alkylmetal-oxo material. In particular embodiments, the metal is tin (Sn).


In some embodiments, the C1-4 haloalkyl includes halo-substituted methyl including one, two, or three halo substitutions (e.g., fluoromethyl, difluoromethyl, trifluoromethyl, chloromethyl, dichloromethyl, trichloromethyl, bromomethyl, dibromomethyl, tribromomethyl, iodomethyl, diiodomethyl, triiodomethyl, bromofluoromethyl, chlorofluoromethyl, fluoroiodomethyl, and the like). In other embodiments, the C1-2 haloalkyl includes —CXyH3−y, wherein y is 1, 2, or 3; and wherein each X is, independently, halo (F, Cl, Br, or I).


In some embodiments, the C1-4 haloalkyl includes β-halo-substituted ethyl including one, two, or three halo substitutions (e.g., 2-fluoroethyl, 2-chloroethyl, 2-bromoethyl, 2-iodoethyl, 2,2-difluoroethyl, 2,2-dichloroethyl, 2,2-dibromoethyl, 2,2-diiodoethyl, 2,2-fluoroiodoethyl, and the like). In other embodiments, the C1-2 haloalkyl includes —CH2CXyH3−y, wherein y is 1, 2, or 3; and wherein each X is, independently, halo (F, Cl, Br, or I). In yet other embodiments, the C1-2 haloalkyl includes —CXzH2−zCXyH3−y, wherein z is 0, 1, or 2; wherein y is 0, 1, 2, or 3; and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z or y is not 0.


In some embodiments, the C1-4 haloaliphatic includes one or more fluoro substitutions or iodo substitutions. Without wishing to be limited by mechanism, films having such fluoro and iodo substitutions can be processed by dry processes, including dry deposition, dry development, and/or dry etching. In particular embodiments, the film is deposited by using a volatile precursor having such fluoro and iodo substitutions, thereby allowing for dry deposition of the precursor in a vapor phase. Optionally, such films can be dry developed, e.g., to provide a negative tone resist, in which radiation exposed regions provide a non-volatile material and radiation unexposed regions provide a volatile byproduct upon exposure to dry development chemistry (e.g., vapor-based etchant chemistry, such as any described herein). In this way, etchants in the vapor phase can be used to react with the unexposed film to provide volatile byproducts, which can also be removed by in its vapor form. Within the radiation exposed region, such haloaliphatic groups can be present in any useful form. In one instance, the haloaliphatic group can form a bridge between metal atoms (e.g., M-Ak-M, in which Ak is a haloalkylene group and M is a metal, such as tin). In another instance, a halo atom from the haloaliphatic group can be removed (e.g., upon exposure to radiation), in which the halo atom can be incorporated into the film.


In other embodiments, the C1-4 aliphatic is selected from methyl, ethyl, vinyl, ethynyl, propenyl, and propargyl. Without wishing to be limited by mechanism, unsaturated aliphatic groups (e.g., C2-4 alkenyl or C2-4 alkynyl) can be present within the film in any useful manner. In particular embodiments, the unsaturated groups can undergo photoinduced polymerization (e.g., upon exposure to radiation), thereby forming inter-ligand bonds between unsaturated groups. In other embodiments, the unsaturated groups can form aliphatic bridges between metal atoms (e.g., (e.g., M-Ak-M, in which Ak is an alkylene group and M is metal, such as tin).


In other embodiments, the C1-2 alkyl includes methyl or ethyl. In yet other embodiments, the C2-4 alkenyl includes ethenyl, propenyl (e.g., 1-propenyl or 2-propenyl), or butenyl (e.g., 1-butenyl, 2-butenyl, or 3-butenyl). In some embodiments, the C2-4 alkynyl includes ethynyl, propynyl (e.g., 1-propynyl or 2-propynyl), or butynyl (e.g., 1-butynyl, 2-butynyl, or 3-butynyl).


In some embodiments, the film further includes a vertical gradient extending from a top surface of the film to a lower portion of the film. In particular embodiments, an upper portion of the film (e.g., in proximity to the top surface of the film) includes C3-4 alkyl groups. In other embodiments, the lower portion (e.g., in proximity to the substrate or the bottom surface of the film) includes C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups.


In a second aspect, the present disclosure encompasses a method of employing a resist, the method including: depositing a metal-containing precursor and an optional ligand-containing precursor on a surface of a substrate to provide a resist film, wherein the resist film includes a metal, an oxygen, and a C1-4 haloaliphatic or a C1-4 aliphatic.


In some embodiments, the C4 haloaliphatic is selected from C1-4 haloalkyl, C2-4 haloalkenyl, and C2-4 haloalkynyl. In other embodiments, the C1-4 aliphatic is selected from C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl.


In some embodiments, said depositing includes providing the metal-containing precursor. In particular embodiments, the metal-containing precursor is an organometallic precursor.


In some embodiments, the metal-containing precursor includes a structure having formula (I):





MaRbLc  (I),

    • wherein: each M is, independently, a metal (e.g., tin (Sn)); each R is, independently, optionally substituted C1-4 haloaliphatic or optionally substituted C1-4 aliphatic; each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a ligand-containing precursor; a≥1; b≥1; and c≥1. In particular embodiments, the counter-reactant is water vapor.


In other embodiments, the metal-containing precursor includes a structure having formula (Ia):





SnRbL3−b  (Ia),

    • wherein: each R is, independently, optionally substituted C1-4 haloaliphatic or optionally substituted C1-4 aliphatic; each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, or a ligand that is reactive with a counter-reactant and/or with a ligand-containing precursor; and b is 1 or 2. In particular embodiments, the counter-reactant is water vapor.


In some embodiments, said depositing includes providing a ligand-containing precursor, which can be provided simultaneously or sequentially with the metal-containing precursor. In one embodiment, the ligand-containing precursor installs additional L groups on the metal center M that is present within the metal-containing precursor or within the resist film.


In some embodiments, the metal-containing precursor includes a structure having formula (III):





MaLc  (III),

    • wherein: each M is, independently, a metal; each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or a ligand-containing precursor; a≥1; and c≥1.


In other embodiments, the metal-containing precursor includes a structure having formula (IIIa):





SnL2  (IIIa),

    • wherein: each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, or a ligand that is reactive with a counter-reactant and/or with a ligand-containing precursor.


In some embodiments, said depositing includes providing a ligand-containing precursor, in which the ligand-containing precursor installs additional L groups and R groups on the metal center M that is present within the metal-containing precursor or within the resist film. In particular embodiments, the metal-containing precursor includes a structure having formula (III), and the ligand-containing precursor includes a structure having formula (IV):





RfLg  (IV),

    • wherein: each R is, independently, optionally substituted C1-4 haloaliphatic or optionally substituted C1-4 aliphatic; each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a metal-containing precursor; f≥1; and g≥1.


In other embodiments, the ligand-containing precursor includes a structure having formula (IVa):





RL  (IVa),


wherein: each R is, independently, optionally substituted C1-4 haloaliphatic or optionally substituted C1-4 aliphatic; and each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a metal-containing precursor. In particular embodiments, L is halo. In other embodiments, L is alkoxy.


In other embodiments, the metal-containing precursor including formula (III) reacts with the ligand-containing precursor including formula (IV) to provide a precursor including a structure having formula (I). In yet other embodiments, said depositing includes providing the metal-containing precursor including formula (III) with the ligand-containing precursor including formula (IV) simultaneously or sequentially.


In some embodiments, said depositing includes providing the metal-containing precursor and the optional ligand-containing precursor in vapor form. In other embodiments, said depositing further includes providing a counter-reactant. Non-limiting counter-reactants can include an oxygen-containing counter-reactant or a chalcogenide-containing precursor. In particular embodiments, the counter-reactant is water vapor.


In other embodiments, said depositing of the metal-containing precursor and the ligand-containing precursor occurs in sequentially or in alternating cycles. In some embodiments, said depositing includes: delivering the metal-containing precursor with an optional counter-reactant to a chamber configured to provide the substrate; purging the chamber to remove the metal-containing precursor and/or the optional counter-reactant; and delivering the ligand-containing precursor to the chamber, thereby forming the resist film. In certain embodiments, said delivering the metal-containing precursor, said purging, and said delivering the ligand-containing precursor can be performed one or more times.


In yet other embodiments, said depositing further includes a second metal-containing precursor to form an upper portion of the film, thereby providing a gradient film. In some embodiments, the second metal-containing precursor includes a C3-4 alkyl group that is deposited as an upper portion of the film. In other embodiments, the first metal-containing precursor includes C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups, in which the first metal-containing precursor is deposited as a lower portion of the film.


In further embodiments, the method can further include: patterning the resist film by exposure to patterned radiation, thereby providing an exposed film having radiation exposed areas and radiation unexposed areas; and developing the exposed film, thereby removing the radiation exposed areas to provide a pattern within a positive tone resist film or removing the radiation unexposed areas to provide a pattern within a negative tone resist.


In some embodiments, said patterning includes an EUV exposure having a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient.


In other embodiments, said developing includes wet development or dry development. Non-limiting wet development can include water, an acid, a base, a ketone, an ester, an alcohol, an ether, or a combination thereof. In further embodiments, the wet development further includes one or more surfactants. In other embodiments, the dry development includes gaseous acid. In some embodiments, said developing includes removing the radiation exposed areas to provide a pattern within a positive tone resist film. In other embodiments, said developing includes removing the radiation unexposed areas to provide a pattern within a negative tone resist.


In a third aspect, the present disclosure encompasses an apparatus for forming a resist film, the apparatus including: a deposition module and a controller including one or more memory devices, one or more processors, and system control software coded with instructions including machine-readable instructions. In particular embodiments, the deposition module includes a chamber for depositing a resist film (e.g., a patterning radiation-sensitive film, such as an EUV-sensitive film), in which the chamber can be configured to house a semiconductor substrate.


In one embodiment, the instructions include machine-readable instructions for (e.g., in the deposition module) causing deposition of a metal-containing precursor and an optional ligand-containing precursor on a top surface of a semiconductor substrate to form the resist film, wherein the resist film includes a metal, an oxygen, and a C1-4 haloaliphatic or a C1-4 aliphatic. In particular embodiments, the C1-4 aliphatic is selected from C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl.


In some embodiments, the apparatus further includes: a patterning module. In other embodiments, the patterning module includes a photolithography tool with a source of sub-300 nm wavelength radiation (e.g., in which the source can be a source of sub-30 nm wavelength radiation). In some embodiments, the instructions include machine-readable instructions for (e.g., in the patterning module) causing patterning of the resist film with sub-300 nm resolution (e.g., or with sub-30 nm wavelength radiation) directly by patterning radiation exposure (e.g., by EUV exposure), thereby forming an exposed film having radiation exposed areas and radiation unexposed areas. In other embodiments, the exposed film has EUV exposed areas and EUV unexposed areas.


In some embodiments, the apparatus further includes: a development module. In other embodiments, the development module includes a chamber for developing the resist film. In yet embodiments, the instructions include machine-readable instructions for (e.g., in the development module) causing development of the exposed film to remove the radiation exposed areas or the radiation unexposed areas to provide a pattern within the resist film. In particular embodiments, the machine-readable instructions include instructions for causing removal of the EUV exposed areas or the EUV unexposed areas.


In some embodiments, the resist film includes a gradient film. In particular embodiments, the gradient film can be characterized by a vertical gradient extending from a top surface of the film to a lower portion of the film. In one embodiment, the vertical gradient includes an increase in C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups going from a top surface of the film towards the substrate. In this case, a denser or more EUV absorbing composition is provided at the lower portion of the film. In some embodiments, the vertical gradient includes an upper portion of the film having C3-8 alkyl groups (e.g., an organometal-oxo material including a metal, an oxy, and C3-8 alkyl groups) and a lower of the film having C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups (e.g., an organometal-oxo material including a metal, an oxy, and at least one of C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups). In other embodiments, the vertical gradient includes an upper portion of the film having C-s alkyl groups (e.g., an organometal-oxo material including a metal, an oxy, and isopropyl groups) and a lower of the film having C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups (e.g., an organometal-oxo material including a metal, an oxy, and methyl or ethyl groups). In yet other embodiments, the vertical gradient is characterized by a vertical change in EUV absorbance. In some instances, an increase in EUV absorbance along a depth (e.g., going from a top surface of the film towards the substrate) can correspond with an increase in metal content, halogen content, or density along that same depth through the film layer.


In any embodiment herein, the patterning radiation-sensitive film (e.g., the resist film) includes an extreme ultraviolet (EUV)-sensitive film, a deep-ultraviolet (DUV)-sensitive film, a photoresist film, or a photopatternable film.


In any embodiment herein, the patterning radiation-sensitive film (e.g., the resist film) includes an organometallic material or an organometal oxide material.


In any embodiment herein, the patterning radiation-sensitive film (e.g., the resist film) includes organotin oxy, organotin oxide, or organotin oxide hydroxide.


In any embodiment herein, the patterning radiation-sensitive film (e.g., the resist film) includes a metal, oxygen, and a C1-4 haloaliphatic or a C1-4 aliphatic. In some embodiments, the metal in the film includes tin (Sn). In other embodiments, the C1-2 haloaliphatic in the film includes a halo-substituted methyl including one, two, or three halo substitutions or a D-halo-substituted ethyl including one, two, or three halo substitutions. In yet other embodiments, the film includes a haloalkylmetal-oxo material including a network of metal-oxygen bonds and metal-C1-4 haloalkyl bonds (e.g., metal-C1-2 haloalkyl bonds). In some embodiments, the film includes an alkylmetal-oxo material including a network of metal-oxygen bonds and metal-C1-2 alkyl bonds or metal-C2-4 alkenyl bonds or metal-C2-4 alkynyl bonds. In other embodiments, the C1-4 aliphatic in the film includes methyl, ethyl, vinyl, ethynyl, propenyl, or propargyl.


In any embodiment herein, a dose-to-gel of the patterning radiation-sensitive film (e.g., the resist film) is lower than that of a non-halogenated film including metal, oxygen, and C1-4 aliphatic (e.g., a C1-4 alkyl, C2-4 alkyl, or C3-4 alkyl). In particular embodiments, the resist film is a halogenated film that is compared to a non-halogenated film, in which the non-halogenated film includes the same amount and type of metal and oxygen atoms as the halogenated film (e.g., any described herein including haloaliphatic), except that the aliphatic groups present in the non-halogenated film is present as haloaliphatic groups in the halogenated film. In other embodiments, the resist film is a first non-halogenated film that is compared to a second non-halogenated film, in which the first non-halogenated film includes the same amount and type of metal and oxygen atoms as the second non-halogenated film, except that the aliphatic groups present in the first film has less carbon atoms than the aliphatic groups in the second film.


In any embodiment herein, the patterning radiation-sensitive film (e.g., the resist film) includes a dense film. In other embodiments, the film has a density of from about 1.6-4.5 g/cm3.


In any embodiment herein, the patterning radiation-sensitive film (e.g., the resist film) has a thickness of about 5-50 nm, including of about 15-25 nm.


In any embodiment herein, each R is, independently, optionally substituted C1-4 haloaliphatic or optionally substituted C1-4 aliphatic. Non-limiting C1-4 haloaliphatic includes C1-4 haloalkyl, optionally substituted C2-4 haloalkenyl, and optionally substituted C2-4 haloalkynyl. Non-limiting C1-4 aliphatic includes optionally substituted C1-2 alkyl, optionally substituted C2-4 alkenyl, and optionally substituted C2-4 alkynyl.


In any embodiment herein, each L is, independently, optionally substituted alkoxy. Non-limiting alkoxy includes C1-5 or C1-4 alkoxy.


In any embodiment herein, each L is, independently, optionally substituted amino. Non-limiting amino includes C1-4 or C1-4 dialkyl amino.


In any embodiment herein (e.g., of formula (I), (Ia), (II), (IIa), (III), (IIa), (IV), (IVa), (V), (Va), (VI), (VII), (VIII), (IX), (X), (XI), or other formulas described herein), each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, or a ligand that is reactive with a counter-reactant and/or with a ligand-containing precursor and/or with a metal-containing precursor.


In any embodiment herein, the metal-containing precursor includes a structure having formula (I), (Ia), (III), (Ia), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI), as described herein.


In any embodiment herein, the ligand-containing precursor includes a structure having formula (II), (IIa), (IV), or (IVa), as described herein.


In any embodiment herein, a single metal-containing precursor is employed with one or more ligand-containing precursors. In other embodiments, two, three, four, or more different metal-containing precursors are employed within one or more ligand-containing precursors.


In any embodiment herein, a single metal-containing precursor is employed with a single ligand-containing precursor. In other embodiments, a single metal-containing precursor is employed with two, three, four, or more different ligand-containing precursors. In yet other embodiments, two or more different metal-containing precursors are employed with two or more different ligand-containing precursors.


In any embodiment herein, said depositing includes providing the metal-containing precursor and/or the ligand-containing precursor in vapor form. In other embodiments, said depositing includes providing a metal-containing precursor, a ligand-containing precursor, and/or a counter-reactant in vapor form. Non-limiting deposition processes include chemical vapor deposition (CVD), as well as atomic layer deposition (ALD), molecular layer deposition (MLD), and plasma-enhanced forms thereof.


In any embodiment herein, said depositing further includes providing a counter-reactant. Non-limiting counter-reactants include an oxygen-containing or a chalcogenide-containing precursor, as well as any described herein (e.g., an oxygen-containing counter-reactant, including oxygen (O2), ozone (O), water, a peroxide, hydrogen peroxide, oxygen plasma, water plasma, an alcohol, a dihydroxy alcohol, a polyhydroxy alcohol, a fluorinated dihydroxy alcohol, a fluorinated polyhydroxy alcohol, a fluorinated glycol, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof). Additional details follow.


Definitions

By “aliphatic” is meant a hydrocarbon moiety having at least one carbon atom to 50 carbon atoms (C1-50), such as one to 25 carbon atoms (C1-25), or one to ten carbon atoms (C1-10), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well. Such a hydrocarbon can be unsubstituted or substituted with one or more groups, such as groups described herein for an alkyl group.


By “alkenyl” is meant an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenyl group can be cyclic (e.g., C3-24 cycloalkenyl) or acyclic. The alkenyl group can also be substituted or unsubstituted. For example, the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl. Non-limiting unsubstituted alkenyl groups include C2-8 alkenyl, C2-6 alkenyl, C2-5 alkenyl, C2-4 alkenyl, or C2-3 alkenyl.


Exemplary, non-limiting alkenyl groups include vinyl or ethenyl (—CH═CH2), 1-propenyl (—CH═CHCH3), allyl or 2-propenyl (—CH2—CH═CH2), 1-butenyl (—CH═CHCH2CH3), 2-butenyl (—CH2CH═CHCH3), 3-butenyl (e.g. —CH2CH2CH═CH2), 2-butenylidene (e.g., ═CH—CH═CHCH3), and the like.


By “alkenylene” is meant a multivalent (e.g., bivalent) form of an alkenyl group, which is an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenylene group can be cyclic (e.g., C3-24 cycloalkenyl) or acyclic. The alkenylene group can be substituted or unsubstituted. For example, the alkenylene group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary, non-limiting alkenylene groups include —CH═CH— or —CH═CHCH2—.


By “alkoxy” is meant —OR, where R is an optionally substituted alkyl group, as described herein. Exemplary alkoxy groups include methoxy, ethoxy, butoxy, trihaloalkoxy, such as trifluoromethoxy, etc. The alkoxy group can be substituted or unsubstituted. For example, the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxy groups include C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, or C1-24 alkoxy groups.


By “alkyl” and the prefix “alk” is meant a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl (Me), ethyl (Et), n-propyl (n-Pr or nPr), isopropyl (i-Pr or iPr), cyclopropyl, n-butyl (n-Bu or nBu), isobutyl (i-Bu or iBu), s-butyl (s-Bu or sBu), t-butyl (t-Bu or tBu), cyclobutyl, n-pentyl, isopentyl, s-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like. The alkyl group can be cyclic (e.g., C3-24 cycloalkyl) or acyclic. The alkyl group can be branched or unbranched. The alkyl group can also be substituted or unsubstituted. For example, the alkyl group can include haloalkyl, in which the alkyl group is substituted by one or more halo groups, as described herein. In another example, the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) C1-6 alkoxy (e.g., —O-Ak, wherein Ak is optionally substituted C1-6 alkyl); (2) amino (e.g., —NRN1RN2, where each of RN1 and RN2 is, independently, H or optionally substituted alkyl, or RN1 and RN2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group); (3) aryl; (4) arylalkoxy (e.g., —O-Lk-Ar, wherein Lk is a bivalent form of optionally substituted alkyl and Ar is optionally substituted aryl); (5) aryloyl (e.g., —C(O)—Ar, wherein Ar is optionally substituted aryl); (6) cyano (e.g., —CN); (7) carboxyaldehyde (e.g., —C(O)H); (8) carboxyl (e.g., —CO2H); (9) C3-8 cycloalkyl (e.g., a monovalent saturated or unsaturated non-aromatic cyclic C3-8 hydrocarbon group); (10) halo (e.g., F, Cl, Br, or I); (11) heterocyclyl (e.g., a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms, such as nitrogen, oxygen, phosphorous, sulfur, or halo); (12) heterocyclyloxy (e.g., —O-Het, wherein Het is heterocyclyl, as described herein); (13) heterocyclyloyl (e.g., —C(O)—Het, wherein Het is heterocyclyl, as described herein); (14) hydroxyl (e.g., —OH); (15) N-protected amino; (16) nitro (e.g., —NO2); (17) oxo (e.g., ═O); (18) —CO2RA, where RA is selected from the group consisting of (a) C1-6 alkyl, (b) C4-18 aryl, and (c) (C4-18 aryl) C1-6 alkyl (e.g., -Lk-Ar, wherein Lk is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); (19) —C(O)NRBRC, where each of RB and RC is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-48 aryl, and (d) (C4-18 aryl) C1-6 alkyl (e.g., -Lk-Ar, wherein Lk is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); and (20) —NRGRH, where each of RG and RH is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C1-6 alkyl, (d) C2-6 alkenyl (e.g., optionally substituted alkyl having one or more double bonds), (e) C2-6 alkynyl (e.g., optionally substituted alkyl having one or more triple bonds), (f) C4-18 aryl, (g) (C4-18 aryl) C1-6 alkyl (e.g., Lk-Ar, wherein Lk is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl), (h) C3-8 cycloalkyl, and (i) (C3-8 cycloalkyl) C1-6 alkyl (e.g., -Lk-Cy, wherein Lk is a bivalent form of optionally substituted alkyl group and Cy is optionally substituted cycloalkyl, as described herein), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group. The alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e.g., one or more halo or alkoxy).


In some embodiments, the unsubstituted alkyl group is a C1-2, C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, or C2-24 alkyl group.


By “alkylene” is meant a multivalent (e.g., bivalent) form of an alkyl group, as described herein. Exemplary alkylene groups include methylene, ethylene, propylene, butylene, etc. In some embodiments, the alkylene group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, or C2-24 alkylene group. The alkylene group can be branched or unbranched. The alkylene group can also be substituted or unsubstituted. For example, the alkylene group can be substituted with one or more substitution groups, as described herein for alkyl.


By “alkynyl” is meant an optionally substituted C2-24 alkyl group having one or more triple bonds. The alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like. The alkynyl group can also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl. Non-limiting unsubstituted alkynyl groups include C2-8 alkynyl, C2-6 alkynyl, C2-5 alkynyl, C2-4 alkynyl, or C2-3 alkynyl. Exemplary, non-limiting alkynyl groups include ethynyl (—C≡CH), 1-propynyl (—C≡CCH3), 2-propynyl or propargyl (—CH2C≡CH), 1-butynyl (—C≡CCH2CH3), 2-butynyl (—CH2C≡CCH3), 3-butynyl (—CH2CH2C≡CH), and the like.


By “alkynylene” is meant a multivalent (e.g., bivalent) form of an alkynyl group, which is an optionally substituted C2-24 alkyl group having one or more triple bonds. The alkynylene group can be cyclic or acyclic. The alkynylene group can be substituted or unsubstituted. For example, the alkynylene group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary, non-limiting alkynylene groups include —C≡C— or —C≡CCH2—.


By “amino” is meant —NRN1RN2, where each of RN1 and RN2 is, independently, H, optionally substituted alkyl, or optionally substituted aryl, or RN1 and RN2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein.


By “aminoalkyl” is meant an alkyl group, as defined herein, substituted by an amino group, as defined herein.


By “aminoaryl” is meant an aryl group, as defined herein, substituted by an amino group, as defined herein.


By “aryl” is meant a group that contains any carbon-based aromatic group including, but not limited to, phenyl, benzyl, anthracenyl, anthryl, benzocyclobutenyl, benzocyclooctenyl, biphenylyl, chrysenyl, dihydroindenyl, fluoranthenyl, indacenyl, indenyl, naphthyl, phenanthryl, phenoxybenzyl, picenyl, pyrenyl, terphenyl, and the like, including fused benzo-C4-8 cycloalkyl radicals (e.g., as defined herein) such as, for instance, indanyl, tetrahydronaphthyl, fluorenyl, and the like. The term aryl also includes heteroaryl, which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom. The aryl group can be substituted or unsubstituted. The aryl group can be substituted with one, two, three, four, or five substituents, such as any described herein for alkyl.


By “carbonyl” is meant a —C(O)— group, which can also be represented as >C═O.


By “cycloalkyl” is meant a monovalent saturated or unsaturated non-aromatic or aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclopentadienyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1.]heptyl, and the like. The cycloalkyl group can also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl.


By “dicarbonyl” is meant any moiety or compound including two carbonyl groups, as defined herein. Non-limiting dicarbonyl moieties include 1,2-dicarbonyl (e.g., RC1—C(O)—C(O)RC2, in which each of RC1 and RC2 is, independently, optionally substituted alkyl, halo, optionally substituted alkoxy, hydroxyl, or a leaving group); 1,3-dicarbonyl (e.g., RC1—C(O)—C(R1aR2a)—C(O)RC2, in which each of RC1 and RC2 is, independently, optionally substituted alkyl, halo, optionally substituted alkoxy, hydroxyl, or a leaving group and in which each of R1a and R2a is, independently, H or an optional substituent provided for alkyl, as defined herein); and 1,4-dicarbonyl (e.g., RC1—C(O)—C(R1aR2a)—C(R3aR4a)—C(O)RC2, in which each of RC1 and RC2 is, independently, optionally substituted alkyl, halo, optionally substituted alkoxy, hydroxyl, or a leaving group and in which each of R1a, R2a, R3a, and R4a is, independently, H or an optional substituent provided for alkyl, as defined herein).


By “halo” is meant F, Cl, Br, or I.


By “haloaliphatic” is meant an aliphatic group, as defined herein, substituted with one or more halo.


By “haloalkenyl” is meant an alkenyl group, as defined herein, substituted with one or more halo.


By “haloalkynyl” is meant an alkynyl group, as defined herein, substituted with one or more halo.


By “haloalkyl” is meant an alkyl group, as defined herein, substituted with one or more halo. Non-limiting unsubstituted haloalkyl groups include C1-2 haloalkyl, C1-3 haloalkyl, C1-4 haloalkyl, C1-5 haloalkyl, C1-6 haloalkyl, C2-3 haloalkyl, C2-4 haloalkyl, C2-5 haloalkyl, C2-6 haloalkyl, or C3-6 haloalkyl. Other non-limiting haloalkyl groups include —CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); —CXzH2−zCXyH3−y, wherein z is 0, 1, or 2, wherein y is 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z or y is not 0: —CH2CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); —CXz1H2−z1CXz2H2−2zCXyH3−y, wherein each of z1 and z2 is, independently, 0, 1, or 2, wherein y is 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z1, z2, or y is not 0; and —CXzH1−z[CXy1H3−y1][CXy2H3−y2], wherein z is 0 or 1, wherein each of y1 and y2 is, independently, 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z, y1, or y2 is not 0.


By “haloalkylene” is meant an alkylene group, as defined herein, substituted with one or more halo.


By “heterocyclyl” is meant a 3-, 4-, 5-, 6- or 7-membered ring (e.g., a 5-, 6- or 7-membered ring), unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo). The 3-membered ring has zero to one double bonds, the 4- and 5-membered ring has zero to two double bonds, and the 6- and 7-membered rings have zero to three double bonds. The term “heterocyclyl” also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like. Heterocyclics include acridinyl, adenyl, alloxazinyl, azaadamantanyl, azabenzimidazolyl, azabicyclononyl, azacycloheptyl, azacyclooctyl, azacyclononyl, azahypoxanthinyl, azaindazolyl, azaindolyl, azecinyl, azepanyl, azepinyl, azetidinyl, azetyl, aziridinyl, azirinyl, azocanyl, azocinyl, azonanyl, benzimidazolyl, benzisothiazolyl, benzisoxazolyl, benzodiazepinyl, benzodiazocinyl, benzodihydrofuryl, benzodioxepinyl, benzodioxinyl, benzodioxanyl, benzodioxocinyl, benzodioxolyl, benzodithiepinyl, benzodithiinyl, benzodioxocinyl, benzofuranyl, benzophenazinyl, benzopyranonyl, benzopyranyl, benzopyrenyl, benzopyronyl, benzoquinolinyl, benzoquinolizinyl, benzothiadiazepinyl, benzothiadiazolyl, benzothiazepinyl, benzothiazocinyl, benzothiazolyl, benzothienyl, benzothiophenyl, benzothiazinonyl, benzothiazinyl, benzothiopyranyl, benzothiopyronyl, benzotriazepinyl, benzotriazinonyl, benzotriazinyl, benzotriazolyl, benzoxathiinyl, benzotrioxepinyl, benzoxadiazepinyl, benzoxathiazepinyl, benzoxathiepinyl, benzoxathiocinyl, benzoxazepinyl, benzoxazinyl, benzoxazocinyl, benzoxazolinonyl, benzoxazolinyl, benzoxazolyl, benzylsultamyl, benzylsultimyl, bipyrazinyl, bipyridinyl, carbazolyl (e.g., 4H-carbazolyl), carbolinyl (e.g., β-carbolinyl), chromanonyl, chromanyl, chromenyl, cinnolinyl, coumarinyl, cytdinyl, cytosinyl, decahydroisoquinolinyl, decahydroquinolinyl, diazabicyclooctyl, diazetyl, diaziridinethionyl, diaziridinonyl, diaziridinyl, diazirinyl, dibenzisoquinolinyl, dibenzoacridinyl, dibenzocarbazolyl, dibenzofuranyl, dibenzophenazinyl, dibenzopyranonyl, dibenzopyronyl (xanthonyl), dibenzoquinoxalinyl, dibenzothiazepinyl, dibenzothiepinyl, dibenzothiophenyl, dibenzoxepinyl, dihydroazepinyl, dihydroazetyl, dihydrofuranyl, dihydrofuryl, dihydroisoquinolinyl, dihydropyranyl, dihydropyridinyl, dihydroypyridyl, dihydroquinolinyl, dihydrothienyl, dihydroindolyl, dioxanyl, dioxazinyl, dioxindolyl, dioxiranyl, dioxenyl, dioxinyl, dioxobenzofuranyl, dioxolyl, dioxotetrahydrofuranyl, dioxothiomorpholinyl, dithianyl, dithiazolyl, dithienyl, dithiinyl, furanyl, furazanyl, furoyl, furyl, guaninyl, homopiperazinyl, homopiperidinyl, hypoxanthinyl, hydantoinyl, imidazolidinyl, imidazolinyl, imidazolyl, indazolyl (e.g., 1H-indazolyl), indolenyl, indolinyl, indolizinyl, indolyl (e.g., 1H-indolyl or 3H-indolyl), isatinyl, isatyl, isobenzofuranyl, isochromanyl, isochromenyl, isoindazoyl, isoindolinyl, isoindolyl, isopyrazolonyl, isopyrazolyl, isoxazolidiniyl, isoxazolyl, isoquinolinyl, isoquinolinyl, isothiazolidinyl, isothiazolyl, morpholinyl, naphthindazolyl, naphthindolyl, naphthiridinyl, naphthopyranyl, naphthothiazolyl, naphthothioxolyl, naphthotriazolyl, naphthoxindolyl, naphthyridinyl, octahydroisoquinolinyl, oxabicycloheptyl, oxauracil, oxadiazolyl, oxazinyl, oxaziridinyl, oxazolidinyl, oxazolidonyl, oxazolinyl, oxazolonyl, oxazolyl, oxepanyl, oxetanonyl, oxetanyl, oxetyl, oxtenayl, oxindolyl, oxiranyl, oxobenzoisothiazolyl, oxochromenyl, oxoisoquinolinyl, oxoquinolinyl, oxothiolanyl, phenanthridinyl, phenanthrolinyl, phenazinyl, phenothiazinyl, phenothienyl (benzothiofuranyl), phenoxathiinyl, phenoxazinyl, phthalazinyl, phthalazonyl, phthalidyl, phthalimidinyl, piperazinyl, piperidinyl, piperidonyl (e.g., 4-piperidonyl), pteridinyl, purinyl, pyranyl, pyrazinyl, pyrazolidinyl, pyrazolinyl, pyrazolopyrimidinyl, pyrazolyl, pyridazinyl, pyridinyl, pyridopyrazinyl, pyridopyrimidinyl, pyridyl, pyrimidinyl, pyrimidyl, pyronyl, pyrrolidinyl, pyrrolidonyl (e.g., 2-pyrrolidonyl), pyrrolinyl, pyrrolizidinyl, pyrrolyl (e.g., 2H-pyrrolyl), pyrylium, quinazolinyl, quinolinyl, quinolizinyl (e.g., 4H-quinolizinyl), quinoxalinyl, quinuclidinyl, selenazinyl, selenazolyl, selenophenyl, succinimidyl, sulfolanyl, tetrahydrofuranyl, tetrahydrofuryl, tetrahydroisoquinolinyl, tetrahydroisoquinolyl, tetrahydropyridinyl, tetrahydropyridyl (piperidyl), tetrahydropyranyl, tetrahydropyronyl, tetrahydroquinolinyl, tetrahydroquinolyl, tetrahydrothienyl, tetrahydrothiophenyl, tetrazinyl, tetrazolyl, thiadiazinyl (e.g., 6H-1,2,5-thiadiazinyl or 2H,6H-1,5,2-dithiazinyl), thiadiazolyl, thianthrenyl, thianyl, thianaphthenyl, thiazepinyl, thiazinyl, thiazolidinedionyl, thiazolidinyl, thiazolyl, thienyl, thiepanyl, thiepinyl, thietanyl, thietyl, thiiranyl, thiocanyl, thiochromanonyl, thiochromanyl, thiochromenyl, thiodiazinyl, thiodiazolyl, thioindoxyl, thiomorpholinyl, thiophenyl, thiopyranyl, thiopyronyl, thiotriazolyl, thiourazolyl, thioxanyl, thioxolyl, thymidinyl, thyminyl, triazinyl, triazolyl, trithianyl, urazinyl, urazolyl, uretidinyl, uretinyl, uricyl, uridinyl, xanthenyl, xanthinyl, xanthionyl, and the like, as well as modified forms thereof (e.g., including one or more oxo and/or amino) and salts thereof. The heterocyclyl group can be substituted or unsubstituted. For example, the heterocyclyl group can be substituted with one or more substitution groups, as described herein for aryl.


By “hydroxyl” is meant —OH.


By “imino” is meant —NR—, in which R can be H or optionally substituted alkyl.


By “oxo” is meant an ═O group.


By “oxy” is meant —O—.


As used herein, the term “about” means +/−10% of any recited value. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges.


As used herein, the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus.


Other features and advantages of the invention will be apparent from the following description and the claims.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1A-1B presents schematics of (A) a resist film formed by using a non-limiting metal-containing precursor (I-1); and (B) further non-limiting metal-containing precursors: (I-2), (I-3), (I-4), (I-5), (I-6), (I-7), (I-8), and (I-9).



FIG. 2A-2B presents schematics of (A) a non-limiting metal-containing precursor (I-A) reacted with a non-limiting ligand-containing precursor (II-A); and (B) another non-limiting metal-containing precursor (III-A) reacted with another non-limiting ligand-containing precursor (IV-A).



FIG. 3A-3B presents schematics and flow diagrams of non-limiting methods that employ a resist film. Provided are (A) a schematic of a first non-limiting method 300 including depositing 301 a metal-containing precursor 30 with an optional ligand-containing precursor 32; and (B) a flow diagram of another non-limiting method 350.



FIG. 4 presents a schematic illustration of an embodiment of a process station 400 for dry development.



FIG. 5 presents a schematic illustration of an embodiment of a multi-station processing tool 500.



FIG. 6 presents a schematic illustration of an embodiment of an inductively coupled plasma apparatus 600.



FIG. 7 presents a schematic illustration of an embodiment of a semiconductor process cluster tool architecture 700.





DETAILED DESCRIPTION

This disclosure relates generally to the field of semiconductor processing. In particular, the disclosure is directed to the use of a metal-containing precursor having a haloaliphatic of aliphatic group, thereby providing an organometallic film having such groups. Alternatively, the metal-containing precursor can be used in combination with a ligand-containing precursor, in which the latter precursor can be used to install (halo)aliphatic groups on a metal center provided by the metal-containing precursor. As used herein, “(halo)aliphatic” is used to refer to both haloaliphatic and aliphatic groups. In some embodiments, the haloaliphatic group is a C1-2 haloalkyl, C1-4 haloalkyl, C2-4 haloalkenyl, or C2-4 haloalkynyl. In other embodiments, the aliphatic group is C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl.


Reference is made herein in detail to specific embodiments of the disclosure. Examples of the specific embodiments are illustrated in the accompanying drawings. While the disclosure will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the disclosure to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present disclosure.


EUV lithography makes use of EUV resists that are patterned to form masks for use in etching underlying layers. EUV resists may be polymer-based chemically amplified resists (CARs) produced by liquid-based spin-on techniques. An alternative to CARs is directly photopatternable metal oxide-containing films, such as those available from Inpria Corp. (Corvallis, OR), and described, for example, in U.S. Pat. Pub. Nos. US 2017/0102612, US 2016/0216606, and US 2016/0116839, incorporated by reference herein at least for their disclosure of photopatternable metal oxide-containing films. Such films may be produced by spin-on techniques or dry vapor-deposited. The metal oxide-containing film can be patterned directly (i.e., without the use of a separate photoresist) by EUV exposure in a vacuum ambient providing sub-30 nm patterning resolution, for example as described in U.S. Pat. No. 9,996,004, issued Jun. 12, 2018 and titled EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS, and/or in International Appl. No. PCT/US19/31618, published as International Pub. No. WO2019/217749, filed May 9, 2019, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS, the disclosures of which at least relating to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks is incorporated by reference herein. Generally, the patterning involves exposure of the EUV resist with EUV radiation to form a photo pattern in the resist, followed by development to remove a portion of the resist according to the photo pattern to form the mask.


Directly photopatternable EUV or DUV resists may be composed of or contain metals and/or metal oxides mixed within organic components. The metals/metal oxides are highly promising in that they can enhance the EUV or DUV photon adsorption, generate secondary electrons, and/or show increased etch selectivity to an underlying film stack and device layers. Up to date, these resists have been developed using a wet (solvent) approach, which requires the wafer to move to the track, where it is exposed to developing solvent, dried, and then baked. This wet development step does not only limit productivity but can also lead to line collapse due to surface tension effects during the evaporation of solvent between fine features.


Generally, resists can be employed as a positive tone resist or a negative tone resist by controlling the chemistry of the resist and/or the solubility or reactivity of the developer. It would be beneficial to have a EUV or DUV resist that can serve as either a negative tone resist or a positive tone resist.


Resist Films and Methods Thereof

The present disclosure relates to organometallic resist films and methods thereof. In particular embodiments, the film includes a halogen-containing organometallic material. Such materials can provide compact or dense films, which in turn exhibit improved radiation absorptivity and limited bake-induced and radiation-induced shrinkage. Dense films can be realized by employing precursors having a radiation-cleavable group that is relatively small. Such groups can be, for example, a C1-2 (halo)aliphatic group. As compared to cleavage of a larger groups (e.g., a C3-12 aliphatic group), cleavage and release of the smaller C1-2 (halo)aliphatic group will create a smaller void (where the group once resided) upon exposure. Such voids can contribute to film shrinkage when the exposed film is further treated (e.g., by way of a post-exposure, a post-exposure etch, or other processes). By minimizing the size of the voids, film shrinkage can be minimized.


Film shrinkage can contribute to various undesired effects. For instance, when exposed areas shrink dramatically, this results in higher dose-to-size (DtS) and can limit the applicability of such resists as etch hardmasks. Thus, the films herein can be employed to avoid film shrinkage. Such films can provide, e.g., decreased DtS after subsequent development and/or use as an etch hardmask.


Radiation absorption can also be improved. For instance, the smaller size of the radiation-cleavable group could provide a denser film, thereby providing additional atoms for radiation absorption (e.g., on a per cm−2 basis). In addition, halogen atoms have higher EUV absorptivity, as compared to hydrogen atoms. Thus, the presence of halogen substituents on the radiation-cleavable group can provide an EUV light-sensitive moiety having increased absorptivity. In other embodiments, the haloaliphatic groups or halo atoms from such groups are incorporated into the film, in which halogen have higher EUV absorptivity than hydrogen. Such groups (e.g., haloaliphatic groups or halo atoms) can be provided attached to a metal atom, bridging two metal atoms, or attached to a ligand. Films having higher absorption can provide, e.g., decreased line width roughness (LWR) after subsequent development but without requiring higher doses; and a thinner film that is not limited by a minimal thickness to provide sufficient absorption and effective pattern formation. Such thin films could be processed by using optical applications having a shallow depth-of-focus.


Described herein are precursors (e.g., metal-containing precursors and ligand-containing precursors) that can be employed to provide such resist films. In general, the metal-containing precursor includes a metal center (M) with additional ligands (L) and/or radiation-cleavable groups (R). In one instance, the L groups are reactive with counter-reactants and facilitate formation of metal-oxy networks. In another instance, L groups are reactive with ligand-containing precursor. In particular embodiments, the R groups are cleavable from M by exposure to radiation. Examples of R include C1-2 haloalkyl, C1-4 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl.



FIG. 1A provides a resist film formed by using a non-limiting metal-containing precursor (I-1), which includes —CH2X as an illustrative radiation-cleavable group or R. Unless otherwise specified, X refers to halo or a halogen atom. The metal-containing precursor (I-1) also include tin (Sn) as M and additional L groups. By depositing precursor (I-1) in the presence of an oxygen-containing counter-reactant ([O]), the film includes a network of organotinoxy hydroxide material (10). Upon exposure to EUV radiation, the exposed areas release the radiation-cleavable group (here, —CH2X), thereby forming voids within the film where the —CH2X group once resided. Further post-exposure treatment, such as a post-exposure bake (PEB) results in a crosslinked film having a network of tin-oxy material (12). As can be seen, after PEB, the extent of film shrinkage between the exposed film and crosslinked film can depend on the voids present within the exposed film.



FIG. 1B shows non-limiting examples of other metal-containing precursors having differing radiation-cleavable groups (R groups). For instance, the radiation-cleavable group can be a C1-2 alkyl. Precursor (I-2) includes a methyl group as R, and precursor (I-6) includes an ethyl group as R. In another instance, the radiation-cleavable group can be a C1-2 haloalkyl have one, two, three, or more halo (X) substituents. Precursors (I-3), (I-4), and (I-5) include a halomethyl group as R. Precursors (I-7) and (I-8) include a haloethyl group as R. In one instance, the haloethyl group includes one or more halo substituents on the β-carbon (in which the α-carbon of haloethyl is attached to Sn). In yet another instance, the radiation-cleavable group can be a C2-4 unsaturated aliphatic group, such as alkenyl. Precursor (I-9) includes an ethenyl group as R. When the precursor contains an unsaturated moiety such as an ethenyl (commonly referred to as vinyl), EUV exposure can result in cross linking reactions (e.g., between ligands or unsaturated groups), rather than the cleavage reactions typical of alkyl substituents. This can have the additional significant benefit of further reducing EUV and post-EUV bake-induced film shrinkage and thereby improve pattern fidelity.


Yet other metal-containing precursors can include those having formula (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), (XI), or others, as described herein. Further metal-containing precursors include CXzH3−zSnL3 and CXzH3−zCH2SnL3, wherein each X is, independently, halo (F, Cl, Br, or I); wherein z=1, 2, 3, or 4; and wherein each L is, independently, halo (e.g., Cl or Br), optionally substituted amino (e.g., dialkylamino, such as —N(Me)2), optionally substituted alkoxy (e.g., C1-4 alkoxy, such as —OCMe3), a ligand that is reactive with a counter-reactant (e.g., that is reactive with water), or a ligand that is reactive with a ligand-containing precursor. Other metal-containing precursors include SnL2, wherein each L is, independently, halo (e.g., Cl or Br), optionally substituted amino (e.g., dialkylamino, such as —N(Me)2), optionally substituted alkoxy (e.g., C1-4 alkoxy, such as —OCMe3), a ligand that is reactive with a counter-reactant (e.g., that is reactive with water), or a ligand that is reactive with a ligand-containing precursor.


Yet other metal-containing precursors include CnHmSnL3, wherein n=1, 2, 3, or 4; wherein m≥1 (e.g., from 1 to 9); and wherein each L is, independently, halo (e.g., Cl or Br), optionally substituted amino (e.g., dialkylamino, such as —N(Me)2), optionally substituted alkoxy (e.g., C1-4 alkoxy, such as —OCMe3), a ligand that is reactive with a counter-reactant (e.g., that is reactive with water), or a ligand that is reactive with a ligand-containing precursor. In particular embodiments, m=2n+1, 2n−1, or 2n−3.


A metal-containing precursor can be used alone or, alternatively, with additional precursors, such as a ligand-containing precursor to further modify the functional groups attached to M in the metal-containing precursor. The ligand-containing precursor can react with the metal-containing precursor to install additional L and/or R groups on M.



FIG. 2A shows an example of non-limiting precursors that can react together to form a further precursor, which in turn can be deposited as a film. In particular embodiments, the metal-containing and ligand-containing precursors are employed to synthesize the precursor (e.g., outside of the deposition chamber), and then the synthesized precursor can be delivered to the chamber for deposition. As can be seen, the initial metal-containing precursor (I-A) includes a metal center (M), a cleavable group (R), and ligands that include halo (X). The ligand-containing precursor (II-A) includes a metal or metalloid center (A), as well as ligands (L″, e.g., which can be any L described herein). A non-limiting example of such a precursor can be an organolithium compound, e.g., LiL, in which A is lithium (Li). Such precursors can be selected to provide a further metal-containing precursor. For instance, precursors (I-A) and (II-A) can react to form a further metal-containing precursor (I-B), which can then be deposited in situ to provide a film on a substrate within the chamber. A by-product includes compound (II-B), which can be removed from the chamber, if desired. Here, the halo groups on the initial metal-containing precursor (I-A) are replaced with ligands (L″) in the further metal-containing precursor (I-B). In particular embodiments, the further metal-containing precursor (e.g., formed by reacting an initial metal-containing precursor and a ligand-containing precursor) can include a structure having formula (I).



FIG. 2B shows another example of non-limiting precursors that can react together to form a further precursor. Here, such precursors can be reacted to synthesize the further precursor outside of the deposition chamber (e.g., and then delivered to the chamber for deposition) or within the chamber (e.g., by way of CVD to provide in situ synthesis of a further precursor prior to deposition). As can be seen, the initial metal-containing precursor (III-A) includes a metal center (M) and ligands (L′, e.g., which can be any L described herein). Non-limiting examples of such a metal center is tin(II). The ligand-containing precursor (IV-A) includes a cleavable group (R) and a ligand (L″, e.g., which can be any L described herein, such as halo). Upon reaction of such precursors (II-A) and (IV-A), a further metal-containing precursor (I-C) is formed. Here, the ligands (L′) on the initial metal-containing precursor (I-A) are retained in M, and further groups (R and L″) are provided to M to provide the further metal-containing precursor (I-C). In particular embodiments, the further metal-containing precursor (e.g., formed by reacting an initial metal-containing precursor and a ligand-containing precursor) can include a structure having formula (I).


By combining such metal- and ligand-containing precursors, further metal-containing precursors can be provided. In this way, the final combination of cleavable groups (R) and/or ligands (L) can be modified, either in situ (within the chamber) or prior to delivery to the chamber for deposition.


Yet other ligand-containing precursors include a structure having formula (II), (IIa), (IV), or (IVa), as described herein. Further ligand-containing precursors can include an organolithium compound (e.g., LiL), an organosodium compound (e.g., NaL), an organomagnesium compound (e.g., MgL2), a Grignard reagent (e.g., MgLX, in which X is halo), an organozinc compound (e.g., ZnL2), or an organoaluminum compound (e.g., AlL3). In particular embodiments, such reagents are not employed within a deposition chamber but employed to synthesize the precursor prior to delivery to the deposition chamber.


Useful ligands in the ligand-containing precursor can include any described herein, including an organic moiety (e.g., optionally substituted alkyl, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino (e.g., —N(SiMe3)2), optionally substituted trialkylsilyl, or others). Other ligand-containing precursors can include an aliphatic halide (e.g., RX, in which X is halo and R is an optionally substituted alkyl) or a haloaliphatic halide (e.g., RX, in which X is halo and R is an optionally substituted haloalkyl). Non-limiting R groups include an optionally substituted C1-2 haloalkyl, such as —CXzH3−z or —CH2CXzH3−z, in which z is 1, 2, or 3; and an optionally substituted C1-4 alkyl, such as —CnH2n−1, in which n is 1 or 2; or such as —CnH2n−1 or —CnH2n−3, in which n is 2, 3, or 4.


The present disclosure also generally includes any useful method that employs a metal-containing precursor with an optional ligand-containing precursor, as described herein. Such methods can include any useful lithography processes, deposition processes, radiation exposure processes, development processes, and post-application processes, as described herein. While the following may describe techniques as relating to EUV processes, such techniques may also be applicable to other next generation lithographic techniques. Various radiation sources may be employed, including EUV (generally about 13.5 nm), DUV (deep-UV, generally in the 248 nm or 193 nm range with excimer laser sources), X-ray (including EUV at the lower energy range of the X-ray range), and e-beam (including a wide energy range).


Exemplary methods can include delivery of metal-containing precursor(s) to a substrate, as well as simultaneous or sequential delivery of metal-containing precursor(s) and ligand-containing precursor(s) to a substrate. For example, FIG. 3A provides a non-limiting method 300 that includes depositing 301 the metal-containing precursor 30 and the optional ligand-containing precursor 32 on a substrate 311. Such co-deposition can provide a dense, halogenated film 312 having a matrix of metal atoms and (halo)aliphatic constituents. Such deposition can include dry deposition.


When a ligand-containing precursor is employed, delivery of the metal- and ligand-containing precursor can occur in any useful order. When delivery sequentially, an optional operation can include purging the chamber to remove un-reacted precursors. Such purging can include use of an inert gas to remove metal- or ligand-containing precursors present in vapor form and, thus, not deposited on the substrate.


Such films can be deposited in any useful manner, as described herein. Exemplary deposition techniques include chemical vapor deposition (CVD), plasma enhanced CVD (PE-CVD), low pressure CVD (LP-CVD), atomic layer deposition (ALD) (e.g., thermal ALD and plasma-enhanced ALD (PE-ALD)), molecular layer deposition (MLD), spin-coat deposition, physical vapor deposition (PVD) including PVD co-sputtering, sputter deposition, electron-beam (e-beam) deposition including e-beam co-evaporation, etc., or a combination thereof. Other deposition processes and conditions are described herein.


The metal- and/or ligand-containing precursors can be optionally deposited in the presence of one or more counter-reactants. Counter-reactants preferably have the ability to replace the reactive moieties, ligands, or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding. Exemplary counter-reactants include oxygen-containing counter-reactants, such as O2, O?, water, peroxides (e.g., hydrogen peroxide), oxygen plasma, water plasma, alcohols, di- or polyhydroxy alcohols, fluorinated di- or polyhydroxy alcohols, fluorinated glycols, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof. In various embodiments, a counter-reactant reacts with the metal- and/or ligand-containing precursor by forming oxygen bridges between neighboring metal atoms. Other potential counter-reactants include hydrogen sulfide and hydrogen disulfide, which can crosslink metal atoms via sulfur bridges and bis(trimethylsilyl)tellurium, which can crosslink metal atoms via tellurium bridges. In addition, hydrogen iodide may be utilized to incorporate iodine into the film. Yet other counter-reactants can include any chalcogenide-containing precursors or chalcogenide-containing compounds described herein.


Various process conditions for deposition can be varied and optimized. For instance, deposition (e.g., of the metal- and/or ligand-containing precursors) can be performed at a temperature between about 20-400° C., such as about 50-300° C., about 100-200° C., or about 150° C. In some embodiments, the metal- and/or ligand-containing precursor(s) have thermal decomposition points that are higher than the process temperature, so as to yield self-limiting surface reactions. Non-limiting pressure conditions include in the range of about 0.1-50 Torr, such as about 1-15 Torr. In some embodiments, the metal- and/or ligand-containing precursor(s) have vapor pressures that are greater than 0.5 Torr at 100° C. or less.


The process step time for each operation can include the following ranges: a metal-containing precursor dose of from about 0.1-10 seconds; a ligand-containing precursor dose of from about 0.1-60 seconds; and a purge period of from about 0.1-60 seconds.


Deposition can be repeated for any number of cycles. Each cycle can include a deposition operation, which can include deposition of a metal-containing precursor; co-deposition of metal- and ligand-containing precursors; or sequential deposition of metal- and ligand-containing precursors with optional purge operations. Such cycles can be repeated for any useful number of cycles, e.g., 1-1000 cycles to deposit the desired film thickness.


When the desired film thickness is deposited, post-deposition treatment steps may be performed. In one instance, such post-deposition treatment involves exposing the film to a long dose (or soak) with a soak precursor. The soak can be used to increase the EUV absorbance of the film. In one embodiment, the soak precursor is a metal-containing precursor, and use of this soak precursor increases the concentration of metal atoms in the film. In other embodiments, the soak precursor is the ligand-containing precursor. The soak precursor can be the same or different from the metal-containing precursor used in the deposition process. The soak may be performed under similar conditions as the deposition process, but generally the dose time may be relatively longer, such as in the range of 30 seconds to 30 minutes.


The methods herein can include deposition directly onto a substrate or onto a layer disposed on a surface of the substrate. In one instance, the substrate can include an adhesion layer or an underlayer, which can be an organic underlayer or an intermediate etch layer.


The resist film can have any useful structure. In one embodiment, the film has a thickness of from about 0.5 nm to about 100 nm (e.g., about 5 nm to 100 nm, as well as other thickness described herein). The film can include an optional underlayer or an optional surface activation step prior to deposition of the metal-containing precursor.


The film can have a vertical gradient that is characterized by a vertical change in EUV absorbance. In some instances, an increase in EUV absorbance along a depth (e.g., going from a top surface of the film towards the substrate) can correspond with an increase in metal content or halogen content along that same depth through the film layer. Non-limiting gradients include a linear gradient, an exponential gradient, a sigmoidal gradient, and the like. In particular embodiments, gradient density films of EUV-responsive moieties can yield more homogeneous film properties of EUV exposed areas at all depths in the film, which might improve development processes, improve EUV sensitivity, and/or improve patterning quality (e.g., having improved line-width-roughness (LWR) and/or line-edge-roughness (LER)).


The resist film can include an organometallic material, such as an organometal oxide (e.g., RM(MO)n, in which M is a metal and R is a halogenated organic moiety having one or more carbon atoms and one or more halogen atoms). The substrate can include any useful wafer, feature(s), layer(s), or device(s). In some embodiments, substrates are silicon wafers having any useful feature (e.g., irregular surface topography), layer (e.g., photoresist layer), or device.


The radiation-sensitive film can include metal constituents and organic substituents, each of which may include UV-, DUV-, or EUV-sensitive moieties. Non-limiting examples of these include, e.g., a metal or a metalloid or an atom with a high EUV absorption cross-section, such as equal to or greater than 1×107 cm2/mol. In other embodiments, the constituent includes or is M (e.g., in which M can be tin (Sn), bismuth (Bi), tellurium (Te), cesium (Cs), antimony (Sb), indium (In), molybdenum (Mo), hafnium (Hf), iodine (I), zirconium (Zr), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), zinc (Zn), silver (Ag), platinum (Pt), lead (Pb), Xe, or a combination thereof). In some embodiments, the constituent includes a metal-containing precursor, a ligand-containing precursor, or a reaction product thereof.


The film can be homogenous. In particular embodiments, the homogenized matrix can be an alloy film. Co-deposition can occur in any useful methodology that uses co-flow of both the metal- and ligand-containing precursors (e.g., CVD).


The resulting film can be used as a photoresist. In particular non-limiting embodiments, the layer can include moieties that promote radiation absorption after radiation exposure (e.g., exposure to UV, DUV, and/or EUV radiation). In further embodiments, the organometallic layer can include metal centers and halogen atoms that promote radiation absorption. After deposition, the film can be patterned by radiation exposure and then developed, thereby providing a negative tone or positive tone resist. Such methods can include any useful lithography processes, deposition processes, EUV exposure processes, development processes, and post-application processes, as described herein. In particular embodiments, the film exhibits minimal film shrinkage after EUV exposure, development, and/or post-application processes.


Turning back to FIG. 3A, further steps can include patterning the film by an EUV exposure 302 to provide an exposed film having EUV exposed areas 312b and EUV unexposed areas 312c. Patterning can include use of a mask 314 having EUV transparent regions and EUV opaque regions, in which EUV beams 315 are transmitted through the EUV transparent region and into the film 312. EUV exposure can include, e.g., an exposure having a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient (e.g., about 13.5 nm in a vacuum ambient).


The method 300 can further include developing 303 the film, thereby either (i) removing the EUV exposed areas to provide a pattern within a positive tone resist film or (ii) removing the EUV unexposed areas to provide a pattern within a negative tone resist. Path (i) in FIG. 3A results in selectively removing the EUV exposed areas 312b. Alternatively, path (ii) in FIG. 3A results in maintaining the EUV exposed areas 312b after development.


Developing steps can include use of halide chemistry (e.g., HBr chemistry) in a gas phase or use of aqueous or organic solvents in a liquid phase (e.g., as described herein). Developing steps can include any useful experimental conditions, such as a low pressure condition (e.g., of from about 1-100 mTorr), a plasma exposure (e.g., in the presence of vacuum), and/or a thermal condition (e.g., of from about −10-100° C.) that may be combined with any useful chemistry (e.g., halide chemistry or aqueous chemistry). Development can include, e.g., a halide-based etchant, such as HCl, HBr, H2, Cl2, Br2, BCl3, or combinations thereof, as well as any halide-based development process described herein; an aqueous alkali development solution; or an organic development solution. Additional development process conditions are described herein.


Optional steps may be conducted to further modulate, modify, or treat the EUV-sensitive film(s), substrate, photoresist layer(s), and/or in any method herein. FIG. 3B provides a flow chart of an exemplary method 350 having various operations, including optional operations. As can be seen, in operation 354, a metal-containing precursor and an optional ligand-containing precursor can be provided in the optional presence of a counter-reactant to provide a resist film (e.g., within a chamber).


In one instance, the method can include an optional step 356 of cleaning the backside surface or bevel of the substrate or removing an edge bead of the photoresist that was deposited in the prior step. Such cleaning or removing steps can be useful for removing particles that may be present after depositing a film layer. The removing step can include processing the wafer with a wet metal oxide (MeOx) edge bead removal (EBR) step.


In another instance, the method can include an optional step 358 of performing a post application bake (PAB) of the deposited photoresist layer, thereby removing residual moisture from the layer to form a film; or pretreating the photoresist layer in any useful manner. The optional PAB can occur after film deposition and prior to EUV exposure; and the PAB can involve a combination of thermal treatment, chemical exposure, and moisture to increase the EUV sensitivity of the film, thereby reducing the EUV dose to develop a pattern in the film. In particular embodiments, the PAB step is conducted at a temperature greater than about 100° C. or at a temperature of from about 100-200° C. or 100-250° C. In some instances, a PAB is not performed within the method.


In operation 360, the film is exposed to EUV radiation to develop a pattern. Generally, the EUV exposure causes a change in the chemical composition of the film, creating a contrast in etch selectivity that can be used to remove a portion of the film. Such a contrast can provide a positive tone resist or a negative tone resist, as described herein.


In yet another instance, the method can include an optional step 362 of performing a post exposure bake (PEB) of the exposed film, thereby further removing residual moisture or promoting chemical condensation within the film; or post-treating the film in any useful manner. In another instance, the method can include (e.g., after development) hardening the patterned film, thereby providing a resist mask disposed on a top surface of the substrate. Hardening steps can include any useful process to further crosslink or react the EUV unexposed or exposed areas, such as steps of exposing to plasma (e.g., O2, Ar, He, or CO2 plasma), exposing to ultraviolet radiation, annealing (e.g., at a temperature of about 180-240° C.), thermal baking, or combinations thereof that can be useful for a post development baking (PDB) step. Additional post-application processes are described herein and may be conducted as an optional step for any method described herein.


Then, in operation 364, the PR pattern is developed. In various embodiments of development, the exposed regions are removed (positive tone) or the unexposed regions are removed (negative tone). In various embodiments, these steps may be dry processes and/or wet processes.


In some embodiments, the process includes all dry processes, including dry deposition of the film (e.g., with precursors in vapor form and a counter-reactant that includes water vapor) and dry development of the exposed film. Without wishing to be limited by mechanism, such films can provide a negative tone resist, in which radiation exposed regions provide a non-volatile material and radiation unexposed regions provide a volatile byproduct upon exposure to dry development chemistry (e.g., vapor-based etchant chemistry, such as any described herein). In this way, etchants in the vapor phase can be used to react with the unexposed film to provide volatile byproducts, which can also be removed by in its vapor form.


In other embodiments, a wet process can be employed in one or more operations. Such processes can include dry deposition of the film (e.g., with precursors in vapor form and a counter-reactant that includes water vapor) and wet development of the exposed film (e.g., using any wet developer described herein); as well as wet deposition of the film (e.g., with precursor in a solvent) and dry development of the exposed film; and wet deposition of the film with wet development of the exposed film. Without wishing to be limited by mechanism, such films can provide a positive tone resist, in which radiation exposed regions provide a material (e.g., including metal halide bonds, such as tin halide) that can be dissolved in a solvent and radiation regions provide a material that is more resistant to solvent dissolution.


Any useful type of chemistry can be employed during the depositing, patterning, and/or developing steps. Such steps may be based on dry processes employing chemistry in a gaseous phase or wet processes employing chemistry in a wet phase. Various embodiments include combining all dry operations of film formation by vapor deposition, (EUV) lithographic photopatterning, dry stripping, and dry development. Various other embodiments include dry processing operations described herein advantageously combined with wet processing operations, for example, spin-on EUV photoresists (wet process), such as available from Inpria Corp., may be combined with dry development or other wet or dry processes as described herein. In various embodiments, the wafer clean may be a wet process as described herein, while other processes are dry processes. In yet other embodiments, a wet development process may be used.


Without limiting the mechanism, function, or utility of the present technology, dry processes of the present technology may provide various benefits relative to wet development processes among those known in the art. For example, dry vapor deposition techniques described herein can be used to deposit thinner and more defect free films than can be applied using spin-coating techniques, in which the exact thickness of the deposited film can be modulated and controlled simply by increasing or decreasing the length of the deposition step or sequence. Accordingly, a dry process may provide more tunability and give further critical dimension (CD) control and scum removal. Dry development can improve performance (e.g., prevent line collapse due to surface tension in wet development) and/or enhance throughput (e.g., by avoiding wet development track). Other advantages may include eliminating the use of organic solvent developers, reducing sensitivity to adhesion issues, avoiding the need to apply and remove wet resist formulations (e.g., avoiding scumming and pattern distortion), improving line edge roughness, patterning directly over device topography, offering the ability to tune hardmask chemistry to the specific substrate and semiconductor device design, and avoiding other solubility-based limitations. Additional details, materials, processes, steps, and apparatuses are described herein.


Metal-Containing Precursors

The metal-containing precursor can have any useful number and type of cleavable group(s) and/or ligand(s). A cleavable group can be characterized by its ability to react in the presence of patterning radiation, and a ligand can be characterized by its ability to react in the presence of a counter-reactant. For instance, the metal-containing precursor can include a cleavable group that eliminates in the presence of patterning radiation. Such a group can include a C1-4 (halo)aliphatic group. In another instance, the metal-containing precursor can include a ligand (e.g., dialkylamino groups or alkoxy groups) that reacts with a counter-reactant, which can introduce linkages between metal centers (e.g., an —O— linkage).


The metal-containing precursor can be, e.g., an organometallic agent, a metal halide, or a capping agent (e.g., as described herein). In a non-limiting instance, the metal-containing precursor includes a structure having formula (I):





MaRbL4  (I),

    • wherein:
      • each M is, independently, a metal or a metalloid;
      • each R is, independently, optionally substituted C1-4 haloaliphatic or C1-4 aliphatic;
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a ligand-containing precursor;
      • a≥1; b≥1; and c≥1.


        In particular embodiments of formula (I), a is 1, 2, or 3. In further embodiments, b is 1, 2, 3, 4, 5, or 6; and c is 1, 2, 3, 4, 5, or 6.


In some embodiments, the metal-containing precursor include tin(IV), such as in a structure having formula (Ia):





SnRbL3−b  (Ia),

    • wherein:
      • each R is, independently, optionally substituted C1-4 haloaliphatic or C1-4 aliphatic;
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, or a ligand that is reactive with a counter-reactant and/or with a ligand-containing precursor; and
      • b is 1 or 2.


In some embodiments, M is characterized by a high patterning radiation absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than 1×107 cm2/mol).


In some embodiments, the metal-containing precursor (e.g., in formula (I), (Ia), (IV), (IVa), or any other formula herein) has at least one optionally substituted C1-2 haloalkyl group. Non-limiting haloaliphatic groups include —CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); —CXzH2−zCXyH3−y, wherein z is 0, 1, or 2, wherein y is 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z or y is not 0; or —CH2CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I). Yet other non-limiting haloalkyl groups include fluoromethyl (—CH2F), difluoromethyl (—CHF2), trifluoromethyl (—CF3), chloromethyl (—CH2Cl), dichloromethyl (—CHCl2), trichloromethyl (—CCl3), bromomethyl (—CH2Br), dibromomethyl (—CHBr2), tribromomethyl (—CBr3), iodomethyl (—CH2I), diiodomethyl (—CHI2), triiodomethyl (—CI3), bromofluoromethyl (—CHFBr), chlorofluoromethyl (—CHFCl), fluoroiodomethyl (—CHFI), 2-fluoroethyl (—CH2CH2F), 2-chloroethyl (—CH2CH2Cl), 2-bromoethyl (—CH2CH2Br), 2-iodoethyl (—CH2CH2I), 2,2-difluoroethyl (—CH2CHF2), 2,2-dichloroethyl (—CH2CHCl2), 2,2-dibromoethyl (—CH2CHBr2), 2,2-diiodoethyl (—CH2CHI2), 2,2-fluoroiodoethyl (—CH2CHFI), and the like. In particular embodiments, the C1-2 haloalkyl includes β-halo-substituted ethyl. Yet other haloaliphatic groups include C1-4 haloalkyl, C2-4 haloalkenyl, and C2-4 haloalkynyl.


In other embodiments, the metal-containing precursor (e.g., in formula (I), (Ia), (IV), (IVa), or any other formula herein) has at least one optionally substituted C1-2 alkyl group, optionally substituted C2-4 alkenyl, or optionally substituted C2-4 alkynyl. Non-limiting groups include —CnH2n+1, in which n is 1 or 2; —CnH2n−1, in which n is 2, 3, or 4; or —CnH2n−3, in which n is 2, 3, or 4. Yet other non-limiting groups include methyl (—CH3), ethyl (—CH2CH3), vinyl or ethenyl (—CH═CH2), 1-propenyl (—CH═CHCH3), allyl or 2-propenyl (—CH2—CH═CH2), 1-butenyl (—CH═CHCH2CH3), 2-butenyl (—CH2CH═CHCH3), 3-butenyl (e.g. —CH2CH2CH═CH2), ethynyl (—C≡CH), 1-propynyl (—C≡CCH3), 2-propynyl or propargyl (—CH2C≡CH), 1-butynyl (—C≡CCH2CH3), 2-butynyl (—CH2C≡CCH3), 3-butynyl (—CH2CH2C≡CH), and the like.


In some embodiments, each ligand within the metal-containing precursor can be one that is reactive with a counter-reactant and/or a ligand-containing precursor. In one instance, the metal-containing precursor includes a structure having formula (I), in which each R is, independently, L. In another instance, the metal-containing precursor includes a structure having formula (III):





MaLc  (III),

    • wherein:
      • each M is, independently, a metal;
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a ligand-containing precursor;
      • a≥1; and c≥1.


        In particular embodiments of formula (III), a is 1. In further embodiments, c is 2, 3, or 4.


In other embodiments, the metal-containing precursor includes a structure having formula (IIIa):





SnL2  (IIIa),

    • wherein:
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, or a ligand that is reactive with a counter-reactant and/or with a ligand-containing precursor.


For any formula herein, M can be a metal with a high patterning radiation absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than 1×107 cm2/mol). In some embodiments, M is tin (Sn), tellurium (Te), bismuth (Bi), antimony (Sb), hafnium (Hf), or zirconium (Zr). In further embodiments, M is Sn, a is 1, and b+c is 4 in formula (I). In other embodiments, M is Sn, a is 1, and b+c is 2 in formula (I). In yet other embodiments, M is Sn, a is 1, and c is 2 in formula (III). In particular embodiments, M is Sn(II) (e.g., in formula (I) or (TH)), thereby providing a metal-containing precursor that is a Sn(II)-based compound. In other embodiments, M is Sn(IV) (e.g., in formula (I) or (IM)), thereby providing a metal-containing precursor that is a Sn(IV)-based compound.


For any formula herein, each L is, independently, H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or optionally substituted alkoxy (e.g., —OR1, in which R1 can be alkyl). In some embodiments, the optionally substituted amino is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 and R2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the optionally substituted bis(trialkylsilyl)amino is —N(SiR1R2R3)2, in which each R1, R2, and R3 is, independently, alkyl. In yet other embodiments, the optionally substituted trialkylsilyl is —SiR1R2R3, in which each R1, R2, and R3 is, independently, alkyl.


In other embodiments, the formula includes a first L that is —NR1R2 and a second L that is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 from a first L and R1 from a second L, taken together with the nitrogen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein. In yet other embodiments, the formula includes a first L that is —OR1 and a second L that is —OR1, in which each R1 is, independently, H or alkyl; or in which R1 from a first L and R1 from a second L, taken together with the oxygen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein.


In some embodiments, at least one of L or R is optionally substituted alkyl (e.g., in formula (I), (Ia), (III), or (Ma)). Non-limiting alkyl groups include, e.g., CnH2n+1, where n is 1, 2, 3, or greater, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, s-butyl, or t-butyl. In various embodiments, L or R has at least one beta-hydrogen or beta-halogen.


In some embodiments, at least one of L or R is optionally substituted haloalkyl (e.g., in formula (I), (Ia), (III), or (Ma)). Non-limiting haloalkyl groups include, e.g., CnH2n+1−zXz, wherein n is 1, 2, 3, or greater; wherein z is 1 to 2n+1 (e.g., 1 to 3, 1 to 5, or 1 to 7); and wherein each X is, independently, halo (F, Cl, Br, or I). In various embodiments, L or R has at least one beta-hydrogen and/or beta-halogen. In particular, the metal-containing precursor can be FCH2SnL3, CF3SnL3, ICH2SnL3, C3SnL3, CH2FCH2SnL3, or CH2ICH2SnL3, in which each L can be any described herein (e.g., including halo, optionally substituted amino, or optionally substituted alkoxy).


In some embodiments, at least one of L or R is optionally substituted alkenyl or optionally substituted alkynyl (e.g., in formula (I), (Ia), (MH), or (ma)). Non-limiting alkenyl groups include, e.g., CnH2n−1, where n is 2, 3, 4, or greater, such as ethenyl, 1-propenyl, 2-propenyl, 1-butenyl, 2-butenyl, or 3-butenyl. Non-limiting alkynyl groups include, e.g., CnH2n−3, where n is 2, 3, 4, or greater, such as ethynyl, 1-propynyl, 2-propynyl, 1-butynyl, 2-butynyl, or 3-butynyl.


In some embodiments, each L or at least one L is halo (e.g., in formula (I), (Ia), (III), or (IIIa)). In particular, the metal-containing precursor can be a metal halide or organometal halide. Non-limiting metal halides and organometal halides include FCH2SnX3, CF3SnX3, ICH2SnX3, CI3SnX3, CH2FCH2SnX3, CH2ICH2SnX3, SnX2, or SnX4, in which each X is, independently, halo. In other embodiments, the metal-containing precursor is RSnX3, in which R is C1-4 haloalkyl, C2-4 haloalkenyl, or C2-4 haloalkynyl; and in which each X is, independently, halo. In yet other embodiments, the metal-containing precursor is RSnX3, in which R is C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl; and in which each X is, independently, halo


In some embodiments, each L or at least one L can include a nitrogen atom. In particular embodiments, one or more L can be optionally substituted amino or optionally substituted bis(trialkylsilyl)amino (e.g., in formula (I), (Ia), (IM), or (Ia)). Non-limiting L substituents can include, e.g., —NMe2, —NEt2, —NMeEt, —N(t-Bu)-[CHCH3]2—N(t-Bu)- (tbba), —N(SiMe3)2, and —N(SiEt3)2. Non-limiting metal-containing precursors can include, e.g., Sn(CH2F)(NMe2)3, Sn(CF)(NMe2)3, Sn(CH2I)(NMe2)3, Sn(CI3)(NMe2)3, Sn(CH2CH2F)(NMe2)3, Sn(CH2CH2I)(NMe2)3, Sn(CH2F)2(NMe2)2, Sn(CF)2(NMe2)2, Sn(CH2I)2(NMe2)2, Sn(CI3)2(NMe2)2, Sn(CH2CH2F)2(NMe2)2, Sn(CH2CH2I)2(NMe2)2, Sn(CH2F)(NEt2)3, Sn(CF3)(NEt2)3, Sn(CH2I)(NEt2)3, Sn(CI3)(NEt2)3, Sn(CH2CH2F)(NEt2)3, Sn(CH2CH2I)(NEt2)3, Sn(CH3)(NMe2)3, Sn(CH2CH3)(NMe2)3, Sn(CH═CH2)(NMe2)3, Sn(CH═CHCH3)(NMe2)3, Sn(CH2—CH═CH2)(NMe2)3, Sn(C≡CH)(NMe2)3, Sn(C≡CCH3)(NMe2)3, Sn(CH2C≡CH)(NMe2)3, Sn(NMe2)2, Sn(NEt2)2, or Sn[N(SiMe3)2]2.


In some embodiments, the metal-containing precursor is RSn(NMe2)3, RSn(NMe2)2(NEt2), RSn(NEt2)3, or RSn[N(SiMe3)2]3, in which R is C1-2 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl. In other embodiments, the metal-containing precursor is R2Sn(NMe2)2, R2Sn(NMe2)(NEt2), R2Sn(NEt2)2, or R2Sn[N(SiMe3)2]2, in which each R is, independently, C1-2 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl.


In other embodiments, the metal-containing precursor is RSnL3, in which R is C1-2 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl; and in which each L is, independently, selected from the group of —NMe2, —NEt2, —NMeEt, —N(t-Bu)-[CHCH3]2—N(t-Bu)- (tbba), —N(SiMe3)2, and —N(SiEt3)2.


In yet other embodiments, the metal-containing precursor is SnL2, in which each L is, independently, selected from the group of —NMe2, —NEt2, —NMeEt, —N(t-Bu)-[CHCH3]2—N(t-Bu)-(tbba), —N(SiMe3)2, and —N(SiEt3)2.


In some embodiments, each L or at least one L can include a silicon atom. In particular embodiments, one or more L can be optionally substituted trialkylsilyl or optionally substituted bis(trialkylsilyl)amino (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting L substituents can include, e.g., —SiMe3, —SiEt3, —N(SiMe3)2, and —N(SiEt3)2. Non-limiting metal-containing precursors can include, e.g., Sn[N(SiMe3)2]2, bis(trimethylsilyl)tellurium (Te(SiMe3)2), bis(triethylsilyl)tellurium (Te(SiEt3)2), or Bi[N(SiMe3)2]3.


In some embodiments, each L or at least one L can include an oxygen atom. In particular embodiments, one or more L can be optionally substituted alkoxy (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting L substituents include, e.g., methoxy, ethoxy, isopropoxy (i-PrO), t-butoxy (t-BuO), and —O═C(CH3)—CH═C(CH)—O— (acac). Non-limiting metal-containing precursors include, e.g., Sn(CH2F)(t-BuO)3, Sn(CF3)(t-BuO)3, Sn(CH2I)(t-BuO)3, Sn(CI3)(t-BuO)3, Sn(CH2CH2F)(t-BuO)3, Sn(CH2CH2I)(t-BuO), Sn(CH2F)2(t-BuO)2, Sn(CF3)2(t-BuO)2, Sn(CH2I)2(t-BuO)2, Sn(CI)2(t-BuO)2, Sn(CH2CH2F)2(t-BuO)2, Sn(CH2CH2I)2(t-BuO)2, Sn(t-BuO)2, Sn(CH3)(t-BuO)3, Sn(CH2CH3)(t-BuO)3, Sn(CH═CH2)(t-BuO)3, Sn(CH═CHCH3)(t-BuO)3, Sn(CH2—CH═CH2)(t-BuO)3, Sn(C≡CH)(t-BuO), Sn(C≡CCH3)(t-BuO)3, Sn(CH2C≡CH)(t-BuO)3, or Sn(acac)2.


In some embodiments, the metal-containing precursor is RSn(t-BuO)3, in which R is C1-2 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl. In other embodiments, the metal-containing precursor is R2Sn(t-BuO)2, in which each R is, independently, C1-2 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl. In yet other embodiments, the metal-containing precursor is RSnL3, in which R is C1-2 haloalkyl, C2-4 haloalkenyl, C2-4 haloalkynyl, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl; and in which each L is, independently, selected from the group of methoxy, ethoxy, i-PrO, and t-BuO.


Yet other metal-containing precursors and non-limiting substituents (e.g., R and L) are described herein. For instance, metal-containing precursors can be any having a structure of formulas (I), (Ia), (III), or (IIIa), as described above; or formulas (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI), as described below. Any of the substituents M, R, X, or L, as described herein, can be employed in any of formulas (I), (la), (II), (IIa), (III), (IIIa), (IV), (IVa), (V), (Va), (VI), (VU), (VII), (IX), (X), or (XI). For instance, any of the substituents M, R, X, or L, as described herein for (II), (IIa), (IV), (IVa) (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI), can be employed in any of formulas (I), (Ia), (III), or (IIIa).


To provide a film in one non-limiting instance, a ligand-containing precursor can be employed to react with the metal-containing precursor or to replace a ligand of the metal-containing precursor. Non-limiting ligand-containing precursors are described herein.


Such precursor(s) can be further used in combination with one or more counter-reactants. Counter-reactants preferably have the ability to replace the reactive moieties, ligands, or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding. Exemplary counter-reactants include oxygen-containing counter-reactants, such as O2, O3, water, peroxides (e.g., hydrogen peroxide), oxygen plasma, water plasma, alcohols, di- or polyhydroxy alcohols, fluorinated di- or polyhydroxy alcohols, fluorinated glycols, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof. In various embodiments, a counter-reactant reacts with the metal- and/or ligand-containing precursor by forming oxygen bridges between neighboring metal atoms. Other potential counter-reactants include hydrogen sulfide and hydrogen disulfide, which can crosslink metal atoms via sulfur bridges and bis(trimethylsilyl)tellurium, which can crosslink metal atoms via tellurium bridges. In addition, hydrogen iodide may be utilized to incorporate iodine into the film.


In particular embodiments, the counter-reactant is a chalcogenide precursor, e.g., that includes a structure having formula (XII):





X3—Z—X4  (XII),

    • wherein:
      • Z is sulfur, selenium, or tellurium; and
      • each of X3 and X4 is, independently, H, optionally substituted alkyl (e.g., methyl, ethyl, n-propyl, isopropyl, n-butyl, t-butyl, etc.), optionally substituted alkenyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or optionally substituted trialkylsilyl.


Using the metal-containing precursors herein, a gradient film can be formed. For instance, various atoms present in the metal-containing precursor, ligand-containing precursor, and/or counter-reactant can be provided within a gradient film. In some embodiments of the techniques discussed herein, a non-limiting strategy that can further improve the EUV sensitivity in a photoresist (PR) film is to create a film in which the film composition is vertically graded, resulting in depth-dependent EUV sensitivity. In a homogenous PR with a high absorption coefficient, the decreasing light intensity throughout the film depth necessitates a higher EUV dose to ensure the bottom is sufficiently exposed. By increasing the density of atoms with high EUV absorptivity at the bottom of the film relative to the top of the film (i.e., by creating a gradient with increasing EUV absorption), it becomes possible to more efficiently use available EUV photons while more uniformly distributing absorption (and the effects of secondary electrons) towards the bottom of more highly absorbing films. In one non-limiting instance, the gradient film includes Te, I, or other atoms towards the bottom of the film (e.g., closer to the substrate).


The strategy of engineering a vertical composition gradient in a PR film is particularly applicable to dry deposition methods, such as CVD and ALD, and can be realized by tuning the flow ratios between different reactants during deposition. The type of composition gradients that can be engineered include: the ratios between different high-absorbing metals, the percentage of metal atoms that have EUV-cleavable organic groups, the percentages of (halo)aliphatic substituents and/or counter-reactants that contain high-absorbing elements, and combinations of the above.


The composition gradient in the EUV PR film can also bring additional benefits. For instance, high density of high-EUV-absorbing elements in the bottom part of the film can effectively generate more secondary electrons that can better expose upper portions of the film. In addition, such compositional gradients can also be directly correlated with a higher fraction of EUV absorbing species that are not bonded to bulky, terminal substituents. For example, in the case of Sn-based resists, the incorporation of tin precursors with four leaving groups is possible, thereby promoting the formation of Sn—O-substrate bonding at the interface for improved adhesion.


Such gradient films can be formed by using any metal-containing precursors (e.g., tin or non-tin precursors), ligand-containing precursors, and/or counter-reactants, described herein. Yet other films, methods, precursors, and other compounds are described in U.S. Provisional Pat. Appl. No. 62/909,430, filed Oct. 2, 2019, and titled SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS and International Appl. No. PCT/US20/70172, filed Jun. 24, 2020 and titled PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT, the disclosures of which at least relating to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks are incorporated by reference herein.


Furthermore, two or more different precursors can be employed within each layer (e.g., a film or a capping layer). For instance, two or more of any metal-containing precursors herein can be employed to form an alloy. In one non-limiting instance, tin telluride can be formed by employing tin precursor including an —NR2 ligand with RTeH, RTeD, or TeR2 precursors, in which R is an alkyl, particularly t-butyl or i-propyl. In another instance, a metal telluride can be formed by using a first metal precursor including an alkoxy or a halo ligand (e.g., SbCl3) with a tellurium-containing precursor including a trialkylsilyl ligand (e.g., bis(trimethylsilyl)tellurium).


Yet other exemplary EUV-sensitive materials, as well as processing methods and apparatuses, are described in U.S. Pat. No. 9,996,004 and Int. Pat. Pub. No. WO 2019/217749, each of which is incorporated herein by reference in its entirety.


Ligand-Containing Precursors

The ligand-containing precursor can be any having a ligand (e.g., reactive with a counter-reactant and/or a metal-containing precursor). In one embodiment, such ligand-containing chemistries will generally be employed before the film deposition process to prepare to the appropriate metal-containing precursor. For instance, the ligand-containing precursor can include a structure having formula (II) or (IIa), which can be reacted with a metal-containing precursor (e.g., a structure having formula (I)) to exchange ligands.


In one embodiment, the ligand-containing precursor includes a structure having formula (II):





AdLe  (II),

    • wherein:
      • each A is, independently, a metal or a metalloid (e.g., lithium (Li), sodium (Na), magnesium (Mg), zinc (Zn), or aluminum (Al));
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a metal-containing precursor;
      • d≥1 (e.g., d is 1, 2, or 3); and
      • e≥1 (e.g., e is 1, 2, 3, 4, 5, 6, 7, or 8).


In yet another embodiment, the ligand-containing precursor includes a structure having formula (IIa):





ALe  (IIa),

    • wherein:
      • each A is, independently, a metal or a metalloid (e.g., Li, Na, Mg, Zn, or Al);
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a metal-containing precursor; and
      • e≥1 (e.g., e is 1, 2, or 3).


In particular embodiments, the ligand-containing precursor is an organolithium compound (e.g., LiL), an organosodium compound (e.g., NaL), an organomagnesium compound (e.g., MgL2), a Grignard reagent (e.g., MgLX, in which X is halo), an organozinc compound (e.g., ZnL2), or an organoaluminum compound (e.g., AlL3). In some embodiments, L is any ligand (e.g., as R or L) described herein for formulas (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI).


In another embodiment, the ligand-containing precursor can be employed to synthesize the precursor (prior to deposition) or to provide a precursor in situ (e.g., during deposition within the chamber). For instance, the ligand-containing precursor can include a structure having formula (IV) or (IVa), which can be reacted with a metal-containing precursor (e.g., a structure having formula (I), (Ia), (III), or (IIIa)). In some embodiments, the metal-containing precursor includes tin(II). In other embodiments, the metal-containing precursor including formula (III) reacts with the ligand-containing precursor including formula (IV) to provide a precursor including a structure having formula (I).


In some embodiments, the ligand-containing precursor includes both Rand L groups. In one embodiment, the ligand-containing precursor includes a structure having formula (IV):





RfLg  (IV),

    • wherein:
      • each R is, independently, optionally substituted C1-4 haloaliphatic or C1-4 aliphatic;
      • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a metal-containing precursor;
      • f≥1 (e.g., 1, 2, or 3); and
      • g≥1 (e.g., 1, 2, 3, 4, or 5).


In other embodiments, the ligand-containing precursor includes a structure having formula (IVa):





RL  (IVa),

    • wherein:
    • each R is, independently, optionally substituted C1-4 haloaliphatic or C1-4 aliphatic; and
    • each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a metal-containing precursor. In particular embodiments, L is halo.


Non-limiting examples of R (e.g., in formula (IV), (Iva), or any other formula herein) include —CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); —CXzH2−zCXyH3−y, wherein z is 0, 1, or 2, wherein y is 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z or y is not 0; —CH2CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); —CnH2n+1−zXz wherein n is 1, 2, 3, or greater, wherein z is 1 to 2n+1 (e.g., 1 to 3, 1 to 5, or 1 to 7), and wherein each X is, independently, halo (F, Cl, Br, or T); —CnH2n+1, in which n is 1 or 2; —CnH2n−1, in which n is 2, 3, or 4; or —CnH2n−3, in which n is 2, 3, or 4. Yet other non-limiting haloalkyl groups include halo-substituted methyl including one, two, or three halo substitutions and the like, as described herein); halo-substituted ethyl including one, two, or three halo substitutions; and β-halo-substituted ethyl including one, two, or three halo substitutions (e.g., as described herein).


Non-limiting examples of L (e.g., for formulas (II), (IIa), (IV), or (IVa)) include H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or optionally substituted alkoxy (e.g., —OR1, in which R1 can be alkyl). In some embodiments, the optionally substituted amino is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 and R2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the optionally substituted bis(trialkylsilyl)amino is —N(SiR1R2R3)2, in which each R1, R2, and R3 is, independently, alkyl. In yet other embodiments, the optionally substituted trialkylsilyl is —SiR1R2R3, in which each R1, R2, and R3 is, independently, alkyl.


In some embodiments, each L or at least one L can include an oxygen atom. In particular embodiments, one or more L can be optionally substituted alkoxy (e.g., in formula (II), (IIa), (IV), or (IVa)). Non-limiting L substituents include, e.g., methoxy, ethoxy, isopropoxy (i-PrO), t-butoxy (t-BuO), 1-methoxy-2-methyl-2-propanolato (mmp), 2,2,6,6-tetramethyl-3,5-heptanedionato (THD), acetylacetonate (acac), and —O—C(Za)—CZa—C(Za)—O— (β-diketonate, in which each Za can be, independently, H, alkyl, alkenyl, alkynyl, aryl, phenyl, or halo).


In some embodiments, each L or at least one L can include a nitrogen atom. In particular embodiments, one or more L can be optionally substituted amino (e.g., in formula (II), (IIa), (IV), or (IVa)) or optionally substituted bis(trialkylsilyl)amino. Non-limiting L substituents include, e.g., dialkylamino; dimethylamino (—NMe2); diethylamino (—NEt2); diethylmethylamino (—NMeEt); —N(t-Bu)-[CHCH3]2—N(t-Bu)- (tbba); bis(trimethylsilyl)amino (—N(SiMe3)2); bis(triethylsilyl)amino (—N(SiEt3)2); 3-(dimethylamino)propyl (dmp); —NR1R2—C(Za)2—C(Za)2—C(Za)2— (dialkylaminopropyl), in which each Za, R1, and R2 can be, independently, H, alkyl, alkenyl, alkynyl, aryl, phenyl, or halo; —N(Za)—C(Za)—N(Za)— (amidinato), in which each Za can be, independently, H, alkyl, alkenyl, alkynyl, aryl, phenyl, or halo, including N,N′-di-sec-butylacetamidinato [(iPrN)2CMe]; —N(Za)—C(NR1R2)—N(Za)— (guanidinato), in which each Za, R1, and R2 can be, independently, H, alkyl, alkenyl, alkynyl, aryl, phenyl, or halo; or —N(Za)—C(Za)—C(Za)—C(Za)—N(Za)— (β-diketiminato), in which each Za can be, independently, H, alkyl, alkenyl, alkynyl, aryl, phenyl, or halo.


In some embodiments, each L or at least one L can include an optionally substituted cyclopentadienyl (Cp) or optionally substituted aryl. Non-limiting substitutions for Cp and aryl can include alkyl, alkenyl, alkynyl, aryl, phenyl, or halo, such as an alkylated derivative (e.g., η5-C5H4Et).


Other ligand-containing precursors can include organolithium compounds, such as lithium dimethylamide [LiNMe2] and lithium bis(trimethylsilyl)amide [LiN(SiMe3)2].


Yet other ligand-containing precursors can include aluminum halides, such as aluminum bromide [AlBr3] and aluminum chloride [AlC3]; organoaluminum, including trialkylaluminum and triarylaluminum, such as trimethylaluminum [AlMe3] and triethylaluminum [AlEt3]; aluminum alkoxides, such as aluminum methoxide [Al(OMe)3] and aluminum i-propoxide [Al(OiPr)3]; tris(dialkylamido)aluminum, such as tris(dimethylamido)aluminum [Al(NMe2)3 or Al2(NMe2)6].


Yet other ligand-containing precursors can include haloalkyl halides or alkyl halides, such as haloalkyl iodide, haloalkyl bromide, haloalkyl chloride, haloalkyl fluoride, alkyl iodide, alkyl bromide, alkyl chloride, or alkyl fluoride. In some embodiments, the haloalkyl is —CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); —CXzH2−zCXyH3−y, wherein z is 0, 1, or 2, wherein y is 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z or y is not 0; —CH2CXyH3−y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); or CnH2n+1−zXz wherein n is 1, 2, 3, or greater, wherein z is 1 to 2n+1 (e.g., 1 to 3, 1 to 5, or 1 to 7), and wherein each X is, independently, halo (F, Cl, Br, or I). Yet other non-limiting haloalkyl groups include halo-substituted methyl including one, two, or three halo substitutions and the like, as described herein); halo-substituted ethyl including one, two, or three halo substitutions; and β-halo-substituted ethyl including one, two, or three halo substitutions (e.g., as described herein). In other embodiments, the alkyl is —CnH2n+1, wherein n is 1 or 2; —CnH2n−1, wherein n is 2, 3, or 4; or —CnH2n−3, wherein n is 2, 3, or 4.


Yet other ligand-containing precursors and non-limiting substituents (e.g., R and L) are described herein. For instance, any of the substituents M, R, X, or L, as described herein for (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI), can be employed in any of formulas (II), (IIa), (IV), or (IVa).


Further Precursors

As described herein, the films, layers, and methods herein can be employed with any useful precursor. The precursors below can be used as metal-containing precursors or ligand-containing precursors.


In a non-limiting instance, the precursor includes a structure having formula (V):





MaRbLc  (V),

    • wherein:
      • M is a metal or a metalloid;
      • each R is, independently, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or L;
      • each L is, independently, is a ligand, ion, or other moiety that is reactive with a counter-reactant, in which R and L with M, taken together, can optionally form a heterocyclyl group or in which R and L, taken together, can optionally form a heterocyclyl group;
      • a≥1; b≥1; and c≥1.


In some embodiments, each ligand within the precursor can be one that is reactive with a counter-reactant. In one instance, the precursor includes a structure having formula (V), in which each R is, independently, L. In another instance, the precursor includes a structure having formula (Va):





MaLc  (Va),

    • wherein:
      • M is a metal;
      • each L is, independently, is a ligand, ion, or other moiety that is reactive with a counter-reactant, in which two L, taken together, can optionally form a heterocyclyl group;
      • a≥1; and c≥1.


        In particular embodiments of formula (Va), a is 1. In further embodiments, c is 2, 3, or 4.


For any formula herein, M can be a metal with a high patterning radiation absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than 1×107 cm2/mol). In some embodiments, M is tin (Sn), tellurium (Te), bismuth (Bi), antimony (Sb), hafnium (Hf), or zirconium (Zr). In further embodiments, M is Sn, a is 1, and c is 4 in formula (V) or (Va). In other embodiments, M is Sn, a is 1, and c is 2 in formula (V) or (Va). In particular embodiments, M is Sn(U) (e.g., in formula (V) or (Va)), thereby providing a precursor that is a Sn(II)-based compound. In other embodiments, M is Sn(IV) (e.g., in formula (V) or (Va)), thereby providing a precursor that is a Sn(IV)-based compound.


For any formula herein, each L is, independently, H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, or optionally substituted alkoxy (e.g., —OR1, in which R1 can be alkyl). In some embodiments, the optionally substituted amino is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 and R2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the optionally substituted bis(trialkylsilyl)amino is —N(SiR1R2R1)2, in which each R1, R2, and R3 is, independently, alkyl. In yet other embodiments, the optionally substituted trialkylsilyl is —SiR1R2R3, in which each R1, R2, and R3 is, independently, alkyl.


In other embodiments, the formula includes a first L that is —NR1R2 and a second L that is —NR1R2, in which each R1 and R2 is, independently, H or alkyl; or in which R1 from a first L and R1 from a second L, taken together with the nitrogen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein. In yet other embodiments, the formula includes a first L that is —OR1 and a second L that is —OR1, in which each R1 is, independently, H or alkyl; or in which R1 from a first L and R1 from a second L, taken together with the oxygen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein.


In some embodiments, at least one of L or R is optionally substituted alkyl (e.g., in formula (V) or (Va)). Non-limiting alkyl groups include, e.g., CnH2n+1, where n is 1, 2, 3, or greater, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, s-butyl, or t-butyl. In various embodiments, L or R has at least one beta-hydrogen or beta-fluorine. In particular, the precursor can be tetramethyl tin (SnMe4), tetraethyl tin (SnEt4), t-butyl tellurium hydride (Te(t-Bu)(II)), dimethyl tellurium (TeMe2), di(t-butyl) tellurium (Te(t-Bu)2), or di(isopropyl)tellurium (Te(i-Pr)2).


In some embodiments, each L or at least one L is halo (e.g., in formula (V) or (Va)). In particular, the precursor can be a metal halide. Non-limiting metal halides include SnBr4, SnCl4, SnI4, and SbCl3.


In some embodiments, each L or at least one L can include a nitrogen atom. In particular embodiments, one or more L can be optionally substituted amino or optionally substituted bis(trialkylsilyl)amino (e.g., in formula (V) or (Va)). Non-limiting L substituents can include, e.g., —NMe2, —NEt2, —NMeEt, —N(t-Bu)-[CHCH3]2—N(t-Bu)- (tbba), —N(SiMe3)2, and —N(SiEt3)2. Non-limiting precursors can include, e.g., Sn(NMe2)4, Sn(NEt2)4, Sn(i-Pr)(NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2), Sn(i-Bu)(NMe2), Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sb(NMe2)3, Sn(tbba), Sn[N(SiMe3)2]2, or Bi[N(SiMe)2]3.


In some embodiments, each L or at least one L can include a silicon atom. In particular embodiments, one or more L can be optionally substituted trialkylsilyl or optionally substituted bis(trialkylsilyl)amino (e.g., in formula (V) or (Va)). Non-limiting L substituents can include, e.g., —SiMe3, —SiEt3, —N(SiMe)2, and —N(SiEt3)2. Non-limiting precursors can include, e.g., Sn[N(SiMe3)2]2, bis(trimethylsilyl)tellurium (Te(SiMe3)2), bis(triethylsilyl) tellurium (Te(SiEt3)2), or Bi[N(SiMe3)2]3.


In some embodiments, each L or at least one L can include an oxygen atom. In particular embodiments, one or more L can be optionally substituted alkoxy (e.g., in formula (V) or (Va)). Non-limiting L substituents include, e.g., methoxy, ethoxy, isopropoxy (i-PrO), t-butoxy (t-BuO), and —O═C(CH3)—CH═C(CH3)—O— (acac). Non-limiting precursors include, e.g., Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, or Sn(acac)2.


In some instances, the precursor includes a metal halide having the following formula (VI):





MXn  (VI),

    • in which M is a metal, X is halo, and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. Exemplary metal halides include SnBr4, SnCl4, Snl4, and SbCl3.


Another non-limiting precursor includes a structure having formula (VII):





MRn  (VII),

    • in which M is a metal; each R is independently H, an optionally substituted alkyl, amino (e.g., —NR2, in which each R is independently alkyl), optionally substituted bis(trialkylsilyl)amino (e.g., —N(SiR3)2, in which each R is independently alkyl), or an optionally substituted trialkylsilyl (e.g., —SiR3, in which each R is independently alkyl); and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. The alkyl group may be CnH2n+1, where n is 1, 2, 3, or greater. Exemplary agents include SnMe4, SnEt4, TeRn, RTeR, t-butyl tellurium hydride (Te(t-Bu)(II)), dimethyl tellurium (TeMe2), di(t-butyl) tellurium (Te(t-Bu)2), di(isopropyl)tellurium (Te(i-Pr)2), bis(trimethylsilyl)tellurium (Te(SiMe3)2), bis(triethylsilyl) tellurium (Te(SiEt3)2), tris(bis(trimethylsilyl)amido) bismuth (Bi[N(SiMe3)2]3), Sb(NMe2)3, and the like.


Another non-limiting precursor can include a capping agent having the following formula (VIII):





MLn  (VIII),

    • in which M is a metal; each L is independently an optionally substituted alkyl, amino (e.g., —NR1R2, in which each of R1 and R2 can be H or alkyl, such as any described herein), alkoxy (e.g., —OR, in which R is alkyl, such as any described herein), halo, or other organic substituent; and n is 2 to 4, depending on the selection of M. Exemplary metals for M include Sn, Te, Bi, or Sb. Exemplary ligands include dialkylamino (e.g., dimethylamino, methylethylamino, and diethylamino), alkoxy (e.g., t-butoxy, and isopropoxy), halo (e.g., F, Cl, Br, and I), or other organic substituents (e.g., acetylacetone or N2,N3-di-tertbutyl-butane-2,3-diamino). Non-limiting capping agents include SnCl4; SnI4; Sn(NR2)4, wherein each of R is independently methyl or ethyl; or Sn(t-BuO)4. In some embodiments, multiple types of ligands are present.


A precursor can include a hydrocarbyl-substituted capping agent having the following formula (IX):





RnMXm  (IX),

    • wherein M is a metal, R is a C2-10 alkyl or substituted alkyl having a beta-hydrogen, and X is a suitable leaving group upon reaction with a hydroxyl group of the exposed hydroxyl groups. In various embodiments, n=1 to 3, and m=4−n, 3−n, or 2−n, so long as m>0 (or m≥1). For example, R may be t-butyl, t-pentyl, t-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n-butyl, n-pentyl, n-hexyl, or derivatives thereof having a heteroatom substituent in the beta position. Suitable heteroatoms include halogen (F, Cl, Br, or I), or oxygen (—OH or —OR). X may be dialkylamino (e.g., dimethylamino, methylethylamino, or diethylamino), alkoxy (e.g., t-butoxy, isopropoxy), halo (e.g., F, Cl, Br, or I), or another organic ligand. Examples of hydrocarbyl-substituted capping agents include t-butyltris(dimethylamino)tin (Sn(t-Bu)(NMe2)3), n-butyltris(dimethylamino)tin (Sn(n-Bu)(NMe2)3), t-butyltris(diethylamino)tin (Sn(t-Bu)(NEt2)3), di(t-butyl)di(dimethylamino)tin (Sn(t-Bu)2(NMe2)2), sec-butyltris(dimethylamino)tin (Sn(s-Bu)(NMe2)3), n-pentyltris(dimethylamino)tin (Sn(n-pentyl)(NMe2)3), i-butyltris(dimethylamino) tin (Sn(i-Bu)(NMe2)3), i-propyltris(dimethylamino)tin (Sn(i-Pr)(NMe2)3), t-butyltris(t-butoxy)tin (Sn(t-Bu)(t-BuO)3), n-butyl(tris(t-butoxy)tin (Sn(n-Bu)(t-BuO)3), or isopropyltris(t-butoxy)tin (Sn(i-Pr)(t-BuO)3).


In various embodiments, a metal-containing precursor includes at least one alkyl group on each metal atom that can survive the vapor-phase reaction, while other ligands or ions coordinated to the metal atom can be replaced by the counter-reactants. Accordingly, another non-limiting metal-containing precursor includes an agent having the formula (X):





MaRbLc  (X),

    • in which M is a metal; R is an optionally substituted alkyl; L is a ligand, ion, or other moiety which is reactive with the counter-reactant; a≥1; b≥1; and c≥1. In particular embodiments, a=1, and b+c=4. In some embodiments, M is Sn, Te, Bi, or Sb. In particular embodiments, each L is independently amino (e.g., —NR1R2, in which each of R1 and R2 can be H or alkyl, such as any described herein), alkoxy (e.g., —OR, in which R is alkyl, such as any described herein), or halo (e.g., F, Cl, Br, or I). Exemplary agents include SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe3(NMe2), and the like.


In other embodiments, the non-limiting precursor includes an organometallic agent having the formula (XI):





MaLc  (XI),

    • in which M is a metal; L is a ligand, ion, or other moiety which is reactive with the counter-reactant; a≥1; and c≥1. In particular embodiments, c=n−1, and n is 2, 3, or 4. In some embodiments, M is Sn, Te, Bi, or Sb. Counter-reactants preferably have the ability to replace the reactive moieties ligands or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding.


In any embodiment herein, R can be an optionally substituted alkyl (e.g., C1-10 alkyl). In one embodiment, alkyl is substituted with one or more halo (e.g., halo-substituted C1-10 alkyl, including one, two, three, four, or more halo, such as F, Cl, Br, or I). Exemplary R substituents include CnH2n+1, preferably wherein n≥3; and CnFxH(2n+1−x, wherein 2n+1≤x≤1. In various embodiments, R has at least one beta-hydrogen or beta-fluorine. For example, R may be selected from the group consisting of i-propyl, n-propyl, t-butyl, i-butyl, n-butyl, sec-butyl, n-pentyl, i-pentyl, t-pentyl, sec-pentyl, and mixtures thereof.


In any embodiment herein, L may be any moiety readily displaced by a counter-reactant to generate an M-OH moiety, such as a moiety selected from the group consisting of an amino (e.g., —NR1R2, in which each of R1 and R2 can be H or alkyl, such as any described herein), alkoxy (e.g., —OR, in which R is alkyl, such as any described herein), carboxylates, halo (e.g., F, Cl, Br, or I), and mixtures thereof.


In particular embodiments, the metal precursor includes tin. In some embodiments, the tin precursor includes SnR or SnR2 or SnR4 or R3SnSnR3, wherein each R is, independently, H, halo, optionally substituted C1-12 alkyl, optionally substituted C1-12 alkoxy, optionally substituted amino (e.g., —NR1R2), optionally substituted C2-12 alkenyl, optionally substituted C2-12 alkynyl, optionally substituted C3-8 cycloalkyl, optionally substituted aryl, cyclopentadienyl, optionally substituted bis(trialkylsilyl)amino (e.g., —N(SiR1R2R1)2), optionally substituted alkanoyloxy (e.g., acetate), a diketonate (e.g., —OC(R1)-Ak-(R2)CO—), or a bidentate chelating dinitrogen (e.g., —N(R1)-Ak-N(R1)—). In particular embodiments, each R1, R2, and R3 is, independently, H or C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); and Ak is optionally substituted C1-6 alkylene. Non-limiting tin precursors include SnF2, SnH4, SnBr4, SnCl4, SnI4, tetramethyl tin (SnMe4), tetraethyl tin (SnEt4), trimethyl tin chloride (SnMe3Cl), dimethyl tin dichloride (SnMezC2), methyl tin trichloride (SnMeCl3), tetraallyltin, tetravinyl tin, hexaphenyl ditin (IV) (Ph3Sn—SnPh3, in which Ph is phenyl), dibutyldiphenyltin (SnBu2Ph2), trimethyl(phenyl) tin (SnMe3Ph), trimethyl(phenylethynyl) tin, tricyclohexyl tin hydride, tributyl tin hydride (SnBu3H), dibutyltin diacetate (SnBu2(CH3COO)2), tin(II) acetylacetonate (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, tetrakis(dimethylamino)tin (Sn(NMe2)4), tetrakis(ethylmethylamino)tin (Sn(NMeEt)4), tetrakis(diethylamino)tin(IV) (Sn(NEt2)4), (dimethylamino)trimethyl tin(IV) (Sn(Me)3(NMe2), Sn(i-Pr)(NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene), or bis[bis(trimethylsilyl)amino] tin (Sn[N(SiMe3)2]2).


Exemplary organometallic agents include SnMeCl3, (N2,N3-di-t-butyl-butane-2,3-diamido) tin(II) (Sn(tbba)), bis(bis(trimethylsilyl)amido) tin(II), tetrakis(dimethylamino) tin(IV) (Sn(NMe2)4), t-butyl tris(dimethylamino) tin (Sn(t-butyl)(NMe2)3), i-butyl tris(dimethylamino) tin (Sn(i-Bu)(NMe2)3), n-butyl tris(dimethylamino) tin (Sn(n-Bu)(NMe2)3), sec-butyl tris(dimethylamino) tin (Sn(s-Bu)(NMe2)3), i-propyl(tris)dimethylamino tin (Sn(i-Pr)(NMe2)3), n-propyl tris(diethylamino) tin (Sn(n-Pr)(NEt2)3), and analogous alkyl(tris)(t-butoxy) tin compounds, such as 1-butyl tris(t-butoxy) tin (Sn(t-Bu)(t-BuO)3). In some embodiments, the organometallic agents are partially fluorinated.


Lithographic Processes

EUV lithography makes use of EUV resists, which may be polymer-based chemically amplified resists produced by liquid-based spin-on techniques or metal oxide-based resists produced by dry vapor-deposited techniques. Such EUV resists can include any EUV-sensitive film or material described herein. Lithographic methods can include patterning the resist, e.g., by exposure of the EUV resist with EUV radiation to form a photo pattern, followed by developing the pattern by removing a portion of the resist according to the photo pattern to form a mask.


It should also be understood that while the present disclosure relates to lithographic patterning techniques and materials exemplified by EUV lithography, it is also applicable to other next generation lithographic techniques. In addition to EUV, which includes the standard 13.5 nm EUV wavelength currently in use and development, the radiation sources most relevant to such lithography are DUV (deep-UV), which generally refers to use of 248 nm or 193 nm excimer laser sources, X-ray, which formally includes EUV at the lower energy range of the X-ray range, as well as e-beam, which can cover a wide energy range. Such methods include those where a substrate (e.g., optionally having exposed hydroxyl groups) is contacted with a metal-containing precursor (e.g., any described herein) to form a metal oxide (e.g., a layer including a network of metal oxide bonds, which may include other non-metal and non-oxygen groups) film as the imaging/photoresist (PR) layer on the surface of the substrate. The specific methods may depend on the particular materials and applications used in the semiconductor substrate and ultimate semiconducting device. Thus, the methods described in this application are merely exemplary of the methods and materials that may be used in present technology.


Directly photopatternable EUV resists may be composed of or contain metals and/or metal oxides mixed within organic components. The metals/metal oxides are highly promising in that they can enhance the EUV photon adsorption and generate secondary electrons and/or show increased etch selectivity to an underlying film stack and device layers. To date, these resists have been developed using a wet (solvent) approach, which requires the wafer to move to the track, where it is exposed to developing solvent, dried and baked. Wet development does not only limit productivity but can also lead to line collapse due to surface tension effects during the evaporation of solvent between fine features.


Dry development techniques have been proposed to overcome these issues by eliminating substrate delamination and interface failures. Dry development has its own challenges, including etch selectivity between unexposed and EUV exposed resist material which can lead to a higher dose to size requirement for effective resist exposure when compared to wet development. Suboptimal selectivity can also cause PR corner rounding due to longer exposures under etching gas, which may increase line CD variation in the following transfer etch step. Additional processes employed during lithography are described in detail below.


Deposition Processes, Including Dry Deposition

As discussed above, the present disclosure provides methods for making imaging layers on semiconductor substrates, which may be patterned using EUV or other next generation lithographic techniques. Methods include those where polymerized organometallic materials are produced in a vapor and deposited on a substrate. In some embodiments, dry deposition can employ any useful metal-containing precursor (e.g., metal halides, capping agents, or organometallic agents described herein). In other embodiments, a spin-on formulation may be used. Deposition processes can include applying a EUV-sensitive material as a resist film and/or as a capping layer upon the resist film. Exemplary EUV-sensitive materials are described herein.


The present technology includes methods by which EUV-sensitive films are deposited on a substrate, such films being operable as resists for subsequent EUV lithography and processing. Furthermore, a secondary EUV-sensitive film can be deposited upon an underlying primary EUV-sensitive film. In one instance, the secondary film constitutes a capping layer, and the primary film constitutes the imaging layer.


Such EUV-sensitive films comprise materials which, upon exposure to EUV, undergo changes, such as the loss of bulky pendant ligands bonded to metal atoms in low density M-OH rich materials, allowing their crosslinking to denser M-O-M bonded metal oxide materials. In other embodiments, EUV exposure results in further cross-linking between ligands bonded to metal atoms, thereby providing denser M-L-M bonded organometallic materials, in which L is a ligand. In yet other embodiments, EUV exposure results in loss of ligands to provide M-OH materials that can be removed by positive tone developers.


Through EUV patterning, areas of the film are created that have altered physical or chemical properties relative to unexposed areas. These properties may be exploited in subsequent processing, such as to dissolve either unexposed or exposed areas or to selectively deposit materials on either the exposed or unexposed areas. In some embodiments, the unexposed film has a hydrophobic surface, and the exposed film has a hydrophilic surface (it being recognized that the hydrophilic properties of exposed and unexposed areas are relative to one another) under the conditions at which such subsequent processing is performed. For example, the removal of material may be performed by leveraging differences in chemical composition, density, and cross-linking of the film. Removal may be by wet processing or dry processing, as further described herein.


The thickness of the EUV-patternable film formed on the surface of the substrate may vary according to the surface characteristics, materials used, and processing conditions. In various embodiments, the film thickness may range from about 0.5-100 nm. Preferably, the film has a sufficient thickness to absorb most of the EUV light under the conditions of EUV patterning. For example, the overall absorption of the resist film may be 30% or less (e.g., 10% or less, or 5% or less), so that the resist material at the bottom of the resist film is sufficiently exposed. In some embodiments, the film thickness is from 10-20 nm. Without limiting the mechanism, function, or utility of the present disclosure, it is believed that, unlike wet, spin-coating processes of the art, the processes of the present disclosure have fewer restrictions on the surface adhesion properties of the substrate, and therefore can be applied to a wide variety of substrates. Moreover, as discussed above, the deposited films may closely conform to surface features, providing advantages in forming masks over substrates, such as substrates having underlying features, without “filling in” or otherwise planarizing such features.


The film (e.g., imaging layer) or capping layer may be composed of a metal oxide layer deposited in any useful manner. Such a metal oxide layer can be deposited or applied by using any EUV-sensitive material described herein, such as a metal-containing precursor (e.g., a metal halide, a capping agent, or an organometallic agent) in combination with an optional ligand-containing precursor. In exemplary processes, a polymerized organometallic material is formed in vapor phase or in situ on the surface of the substrate in order to provide the metal oxide layer. The metal oxide layer may be employed as a film, an adhesion layer, or a capping layer.


Optionally, the metal oxide layer can include a hydroxyl-terminated metal oxide layer, which can be deposited by employing a capping agent (e.g., any described herein) with an oxygen-containing counter-reactant. Such a hydroxyl-terminated metal oxide layer can be employed, e.g., as an adhesion layer between two other layers, such as between the substrate and the film and/or between the photoresist layer and the capping layer.


Exemplary deposition techniques (e.g., for a film or a capping layer) include any described herein, such as ALD (e.g., thermal ALD and plasma-enhanced ALD), spin-coat deposition, PVD including PVD co-sputtering, CVD (e.g., PE-CVD or LP-CVD), sputter deposition, e-beam deposition including e-beam co-evaporation, etc., or a combination thereof, such as ALD with a CVD component, such as a discontinuous, ALD-like process in which metal-containing precursors, ligand-containing precursors, and counter-reactants are separated in either time or space.


Further description of precursors and methods for their deposition as EUV photoresist films applicable to this disclosure may be found in International Appl. No. PCT/US19/31618, published as International Pub. No. WO2019/217749, filed May 9, 2019, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS. The thin films may include optional materials in addition to a metal-containing precursor, a ligand-containing precursor, and a counter-reactant to modify the chemical or physical properties of the film, such as to modify the sensitivity of the film to EUV or enhancing etch resistance. Such optional materials may be introduced, such as by doping during vapor phase formation prior to deposition on the substrate, after deposition of the film, or both. In some embodiments, a gentle remote H2 plasma may be introduced so as to replace some Sn-L bonds with Sn—H, for example, which can increase reactivity of the resist under EUV.


In general, methods can include mixing a vapor stream of an organometallic precursor (e.g., a metal-containing precursor, such as an organometallic agent) with an optional vapor stream of a ligand-containing precursor and an optional vapor stream of a counter-reactant so as to form a polymerized organometallic material, and depositing the organometallic material onto the surface of the semiconductor substrate. In some embodiments, mixing the metal-containing precursor with the optional ligand-containing precursor and optional counter-reactant can form a polymerized organometallic material. As will be understood by one of ordinary skill in the art, the mixing and depositing aspects of the process may be concurrent, in a substantially continuous process.


In an exemplary continuous CVD process, two or more gas streams, in separate inlet paths, of sources of metal-containing precursor, optional ligand-containing precursor, and optional counter-reactant are introduced to the deposition chamber of a CVD apparatus, where they mix and react in the gas phase, to form agglomerated polymeric materials (e.g., via metal-oxygen-metal bond formation) or a film on the substrate. Gas streams may be introduced, for example, using separate injection inlets or a dual-plenum showerhead. The apparatus is configured so that the streams of metal-containing precursor, optional ligand-containing precursor, and optional counter-reactant are mixed in the chamber, allowing the metal-containing precursor, optional ligand-containing precursor, and optional counter-reactant to react to form a polymerized organometallic material or a film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation).


For depositing metal oxide, the CVD process is generally conducted at reduced pressures, such as from 0.1-10 Torr. In some embodiments, the process is conducted at pressures from 1-2 Torr. The temperature of the substrate is preferably below the temperature of the reactant streams. For example, the substrate temperature may be from 0-250° C., or from ambient temperature (e.g., 23° C.) to 150° C.


For depositing agglomerated polymeric materials, the CVD process is generally conducted at reduced pressures, such as from 10 mTorr to 10 Torr. In some embodiments, the process is conducted at from 0.5-2 Torr. The temperature of the substrate is preferably at or below the temperature of the reactant streams. For example, the substrate temperature may be from 0-250° C., or from ambient temperature (e.g., 23° C.) to 150° C. In various processes, deposition of the polymerized organometallic material on the substrate occurs at rates inversely proportional to surface temperature. Without limiting the mechanism, function or utility of present technology, it is believed that the product from such vapor-phase reaction becomes heavier in molecular weight as metal atoms are crosslinked by ligand-containing precursor and/or counter-reactants, and is then condensed or otherwise deposited onto the substrate.


A potential advantage of using dry deposition methods is ease of tuning the composition of the film as it grows. In a CVD process, this may be accomplished by changing the relative flows of the metal-containing precursor and the ligand-containing precursor during deposition. Deposition may occur between 30-200° C. at pressures between 0.01-100 Torr, but more generally between about 0.1-10 Torr.


A film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation) may also be deposited by an ALD process. For example, the metal-containing precursor, optional ligand-containing precursor, and optional counter-reactant are introduced at separate times, representing an ALD cycle. The metal-containing precursor and optional ligand-containing precursor react on the surface, forming up to a monolayer of material at a time for each cycle. This may allow for excellent control over the uniformity of film thickness across the surface. The ALD process is generally conducted at reduced pressures, such as from 0.1-10 Torr. In some embodiments, the process is conducted from 1-2 Torr. The substrate temperature may be from 0-250° C., or from ambient temperature (e.g., 23° C.) to 150° C. The process may be a thermal process or, preferably, a plasma-assisted deposition.


Any of the deposition methods herein can be modified to allow for use of two or more different metal-containing precursors. In one embodiment, the precursors can include the same metal but different ligands. In another embodiment, the precursors can include different metal groups. In one non-limiting instance, alternating flows of various volatile metal-containing precursors can provide a mixed metal layer, such as use of a metal alkoxide precursor having a first metal (e.g., Sn) with a silyl-based precursor having a different second metal (e.g., Te).


Also, any of the deposition methods herein can be modified to allow for use of two or more different ligand-containing precursors. In one embodiment, the ligand-containing precursors can provide different bound ligands to the metal centers. In one non-limiting instance, alternating flows of various ligand-containing precursor can provide a layer with varying ligand content, such as in a gradient film.


Furthermore, any of the deposition methods herein can be modified to provide one or more layers within a film or a capping layer. In one instance, different metal-containing precursors and/or ligand-containing precursors can be employed in each layer. In another instance, the same precursor may be employed for each layer, but the top-most layer can possess a different chemical composition (e.g., a different density of metal-ligand bonds, a different metal to carbon ratio, a different halogen content, or a different bound ligand, as provided by modulating or changing the metal- or ligand-containing precursor).


Processes herein can be used to achieve a surface modification. In some iterations, a vapor of the metal-containing precursor may be passed over the wafer. The wafer may be heated to provide thermal energy for the reaction to proceed. In some iterations, the heating can be between about 50-250° C. In some cases, pulses of the metal- and/or ligand-containing precursor may be used, separated by pump and/or purging steps. In one instance, the ligand-containing precursor may be pulsed between the precursor pulses resulting in ALD or ALD-like growth. In other cases, both the metal- and ligand-containing precursors may be flowed at the same time. Examples of elements useful for surface modification include I, F, Sn, Bi, Sb, Te, and oxides or alloys of these compounds.


The processes herein can be used to deposit a thin metal oxide or metal by ALD or CVD. Examples include SnOx, BiOx, and Te. Following deposition, the film may be capped with a haloalkyl-substituted precursor or alkyl-substituted precursor of the form MaRbLc, as described elsewhere herein. A counter-reactant may be used to better remove the ligands, and multiple cycles may be repeated to ensure complete saturation of the substrate surface. The surface can then ready for the EUV-sensitive film to be deposited. One possible method is to produce a thin film of SnOx. Possible chemistries include growth of SnO2 by cycling tetrakis(dimethylamino)tin and a counter-reactant such as water or O2 plasma. After the growth, a capping agent could be used. For example, isopropyltris(dimethylamino)tin vapor may be flown over the surface.


Deposition processes can be employed on any useful surface. As referred to herein, the “surface” is a surface onto which a film of the present technology is to be deposited or that is to be exposed to EUV during processing. Such a surface can be present on a substrate (e.g., upon which a film is to be deposited), on a film (e.g., upon which a capping layer is to be deposited), or on a capping layer.


Any useful substrate can be employed, including any material construct suitable for lithographic processing, particularly for the production of integrated circuits and other semiconducting devices. In some embodiments, substrates are silicon wafers. Substrates may be silicon wafers upon which features have been created (“underlying topographical features”), having an irregular surface topography.


Such underlying topographical features may include regions in which material has been removed (e.g., by etching) or regions in which materials have been added (e.g., by deposition) during processing prior to conducting a method of this technology. Such prior processing may include methods of this technology or other processing methods in an iterative process by which two or more layers of features are formed on the substrate. Without limiting the mechanism, function, or utility of the present technology, it is believed that, in some embodiments, methods of the present technology offer advantages relative to methods among those known in the art in which photolithographic films are deposited on the surface of substrates using spin casting methods. Such advantages may derive from the conformance of the films of the present technology to underlying features without “filling in” or otherwise planarizing such features, and the ability to deposit films on a wide variety of material surfaces.


In some embodiments, an incoming wafer can be prepared with a substrate surface of a desired material, with the uppermost material being the layer into which the resist pattern is transferred. While the material selection may vary depending on integration, it is generally desired to select a material that can be etched with high selectivity to (i.e., much faster than) the EUV resist or imaging layer. Suitable substrate materials can include various carbon-based films (e.g., ashable hard mask (AHM)), silicon-based films (e.g., silicon, silicon oxide, silicon nitride, silicon oxynitride, or silicon oxycarbonitride, as well as doped forms thereof, including SiOx, SiOxNy, SiOxCyNz, a-Si:H, poly-Si, or SiN), or any other (generally sacrificial) film applied to facilitate the patterning process.


In some embodiments, the substrate is a hard mask, which is used in lithographic etching of an underlying semiconductor material. The hard mask may comprise any of a variety of materials, including amorphous carbon (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, TiN, W, W-doped C, WOx, HfO2, ZrO2, and Al2O3. For example, the substrate may preferably comprise SnOx, such as SnO2. In various embodiments, the layer may be from 1-100 nm thick, or from 2-10 nm thick.


In some non-limiting embodiments, a substrate comprises an underlayer. An underlayer may be deposited on a hard mask or other layer and is generally underneath an imaging layer (or film), as described herein. An underlayer may be used to improve the sensitivity of a PR, increase EUV absorptivity, and/or increase the patterning performance of the PR. In cases where there are device features present on the substrate to be patterned which create significant topography, another important function of the underlayer can be to overcoat and planarize the existing topography so that the subsequent patterning step may be performed on a flat surface with all areas of the pattern in focus. For such applications, the underlayer (or at least one of multiple underlayers) may be applied using spin-coating techniques. When the PR material being employed possesses a significant inorganic component, for example it exhibits a predominately metal oxide framework, the underlayer may advantageously be a carbon-based film, applied either by spin-coating or by dry vacuum-based deposition processes. The layer may include various ashable hard mask (AHM) films with carbon- and hydrogen-based compositions and may be doped with additional elements, such as tungsten, boron, nitrogen, or fluorine.


In some embodiments, a surface activation operation may be used to activate the surface (e.g., of the substrate and/or a film) for future operations. For example, for a SiOx surface, a water or oxygen/hydrogen plasma may be used to create hydroxyl groups on the surface. For a carbon- or hydrocarbon-based surface, various treatment (e.g., a water, hydrogen/oxygen, CO2 plasma, or ozone treatment) may be used to create carboxylic acids/or hydroxyl groups. Such approaches can prove critical for improving the adhesion of resist features to the substrate, which might otherwise delaminate or lift off during handling or within the solvent during development.


Adhesion may also be enhanced by inducing roughness in the surface to increase the surface area available for interaction, as well as directly improve mechanical adhesion. For example, first a sputtering process using Ar or other non-reactive ion bombardment can be used to produce rough surfaces. Then, the surface can be terminated with a desired surface functionality as described above (e.g., hydroxyl and/or carboxylic acid groups). On carbon, a combination approach can be employed, in which a chemically reactive oxygen-containing plasma such as CO2, O2, or H2O (or mixtures of H2 and O2) can be used to etch away a thin layer of film with local non-uniformity and simultaneously terminate with —OH, —OOH, or —COOH groups. This may be done with or without bias. In conjunction with the surface modification strategies mentioned above, this approach could serve the dual purpose of surface roughening and chemical activation of the substrate surface, either for direct adhesion to an inorganic metal-oxide based resist or as an intermediate surface modification for further functionalization.


In various embodiments, the surface (e.g., of the substrate and/or the film) comprises exposed hydroxyl groups on its surface. In general, the surface may be any surface that comprises, or has been treated to produce, an exposed hydroxyl surface. Such hydroxyl groups may be formed on the surface by surface treatment of a substrate using oxygen plasma, water plasma, or ozone. In other embodiments, the surface of the film can be treated to provide exposed hydroxyl groups, upon which a capping layer can be applied. In various embodiments, the hydroxyl-terminated metal oxide layer has a thickness of from 0.1-20 nm, or from 0.2-10 nm, or from 0.5-5 nm.


EUV Exposure Processes

EUV exposure of the film can provide EUV exposed areas having activated reactive centers including a metal atom (M), which are produced by EUV-mediated cleavage events. Such reactive centers can include dangling metal bonds, M-H groups, cleaved M-ligand groups, dimerized M-M bonds, or M-O-M bridges. In other embodiments, EUV exposure provides cross-linked organic moieties by photopolymerizing ligands within the film; or EUV exposures releases gaseous by-products resulting from photolysis of bonds within a ligand.


EUV exposure can have a wavelength in the range of about 10-20 nm in a vacuum ambient, such as a wavelength of from 10-15 nm, e.g., 13.5 nm. In particular, patterning can provide EUV exposed areas and EUV unexposed areas to form a pattern.


The present technology can include patterning using EUV, as well as DUV or e-beam. In such patterning, the radiation is focused on one or more regions of the imaging layer. The exposure is typically performed such that imaging layer film comprises one or more regions that are not exposed to the radiation. The resulting imaging layer may comprise a plurality of exposed and unexposed regions, creating a pattern consistent with the creation of transistor or other features of a semiconductor device, formed by addition or removal of material from the substrate in subsequent processing of the substrate. EUV, DUV and e-beam radiation methods and equipment among useful herein include methods and equipment known in the art.


In some EUV lithography techniques, an organic hardmask (e.g., an ashable hardmask of PECVD amorphous hydrogenated carbon) is patterned using a conventional photoresist process. During photoresist exposure, EUV radiation is absorbed in the resist and in the substrate below, producing highly energetic photoelectrons (e.g., about 100 eV) and in turn a cascade of low-energy secondary electrons (e.g., about 10 eV) that diffuse laterally by several nanometers. These electrons increase the extent of chemical reactions in the resist which increases its EUV dose sensitivity. However, a secondary electron pattern that is random in nature is superimposed on the optical image. This unwanted secondary electron exposure results in loss of resolution, observable line edge roughness (LER) and linewidth variation in the patterned resist. These defects are replicated in the material to be patterned during subsequent pattern transfer etching.


A vacuum-integrated metal hardmask process and related vacuum-integrated hardware that combines film formation (deposition/condensation) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance—e.g. reduced line edge roughness—is disclosed herein.


In various embodiments described herein, a deposition (e.g., condensation) process (e.g., ALD or MOCVD carried out in a PECVD tool, such as the Lam Vector®) can be used to form a thin film of a metal-containing film, such a photosensitive metal salt or metal-containing organic compound (organometallic compound), with a strong absorption in the EUV (e.g., at wavelengths on the order of 10-20 nm), for example at the wavelength of the EUVL light source (e.g., 13.5 nm=91.8 eV). This film photo-decomposes upon EUV exposure and forms a metal mask that is the pattern transfer layer during subsequent etching (e.g., in a conductor etch tool, such as the Lam 2300® Kiyo®).


Following deposition, the EUV-patternable thin film is patterned by exposure to a beam of EUV light, typically under relatively high vacuum. For EUV exposure, the metal-containing film can then be deposited in a chamber integrated with a lithography platform (e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL) and transferred under vacuum so as not to react before exposure. Integration with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H2O, O2, etc. In other embodiments, the photosensitive metal film deposition and EUV exposure may be conducted in the same chamber.


Development Processes, Including Dry Development

EUV exposed or unexposed areas, as well as capping layers, can be removed by any useful development process. In one embodiment, the EUV exposed area can have activated reactive centers, such as dangling metal bonds, M-H groups, or dimerized M-M bonds. In particular embodiments, M-H groups can be selectively removed by employing one or more dry development processes (e.g., halide chemistry). In other embodiments, M-M bonds can be selectively removed by employing a wet development process, e.g., use of hot ethanol and water to provide soluble M(OH)n groups. In yet other embodiments, EUV exposed areas are removed by use of wet development (e.g., by using a positive tone developer). In some embodiments, EUV unexposed areas are removed by use of dry development.


Dry development processes can also include use of halides, such as HCl- or HBr-based processes. While this disclosure is not limited to any particular theory or mechanism of operation, the approach is understood to leverage the chemical reactivity of the dry-deposited EUV photoresist films with the clean chemistry (e.g., HCl, HBr, and BCl3) to form volatile products using vapors or plasma. The dry-deposited EUV photoresist films can be removed with etch rates of up to 1 nm/s. The quick removal of dry-deposited EUV photoresist films by these chemistries is applicable to chamber cleaning, backside clean, bevel clean, and PR developing. Although the films can be removed using vapors at various temperatures (e.g., HCl or HBr at a temperature greater than −10° C., or BCl3 at a temperature greater than 80° C., for example), a plasma can also be used to further accelerate or enhance the reactivity.


Plasma processes include transformer coupled plasma (TCP), inductively coupled plasma (ICP) or capacitively coupled plasma (CCP), employing equipment and techniques among those known in the art. For example, a process may be conducted at a pressure of >0.5 mTorr (e.g., such as from 1-100 mTorr), at a power level of <1000 W (e.g., <500 W). Temperatures may be from 30-300° C. (e.g., 30-120° C.), at flow rate of 100 to 1000 standard cubic centimeters per minute (sccm), e.g., about 500 sccm, for from 1 to 3000 seconds (e.g., 10 seconds to 600 seconds).


Where the halide reactant flows are of hydrogen gas and halide gas, a remote plasma/LUV radiation is used to generate radicals from the H2 and Cl2 and/or Br2, and the hydrogen and halide radicals are flowed to the reaction chamber to contact the patterned EUV photoresist on the substrate layer of the wafer. Suitable plasma power may range from 100-500 W, with no bias. It should be understood that while these conditions are suitable for some processing reactors, e.g., a Kiyo etch tool available from Lam Research Corporation, Fremont, CA, a wider range of process conditions may be used according to the capabilities of the processing reactor.


In thermal development processes, the substrate is exposed to dry development chemistry (e.g., a Lewis Acid) in a vacuum chamber (e.g., oven). Suitable chambers can include a vacuum line, a dry development hydrogen halide chemistry gas (e.g., HBr, HCl) line, and heaters for temperature control. In some embodiments, the chamber interior can be coated with corrosion resistant films, such as organic polymers or inorganic coatings. One such coating is polytetrafluoroethylene ((PTFE), e.g., Teflon™). Such materials can be used in thermal processes of this disclosure without risk of removal by plasma exposure.


The process conditions for the dry development may be reactant flow of 100-500 sccm (e.g., 500 sccm HBr or HCl), temperature of −10-120° C. (e.g., −10° C.), pressure of 1-500 mTorr (e.g., 300 mTorr) with no plasma and for a time of about 10 sec to 1 min, dependent on the photoresist film and capping layer and their composition and properties.


In various embodiments, methods of the present disclosure combine all dry steps of film deposition, formation by vapor deposition, (EUV) lithographic photopatterning, and dry development. In such processes, a substrate may directly go to a dry development/etch chamber following photopatterning in an EUV scanner. Such processes may avoid material and productivity costs associated with a wet development. A dry process can also provide more tunability and give further CD control and/or scum removal.


In various embodiments, the EUV photoresist, containing some amount of metal, metal oxide and organic components, can be dry developed by a thermal, plasma (e.g., including possibly photoactivated plasma, such as lamp-heated or UV lamp heated), or a mixture of thermal and plasma methods while flowing a dry development gas including a compound of formula RxZy, where R═B, Al, Si, C, S, SO with x>0 and Z═C1, H, Br, F, CH4 and y≥0. The dry development can result in a positive tone, in which the RxZy species selectively removes the exposed material, leaving behind the unexposed counterpart as a mask. In some embodiments, the exposed portions of organotin oxide-based photoresist films are removed by dry development in accordance with this disclosure. Positive tone dry development may be achieved by the selective dry development (removal) of EUV exposed regions exposed to flows comprising hydrogen halides or hydrogen and halides, including HCl and/or HBr without striking a plasma, or flows of H2 and Cl2 and/or Br2 with a remote plasma or UV radiation generated from plasma to generate radicals.


Wet development methods can also be employed. In particular embodiments, such wet developments methods are used to remove EUV exposed regions to provide a positive tone photoresist or a negative tone resist. In some embodiments, wet development includes a neutral developer (e.g., a pH neutral developer, such as water) or a peroxide-containing developer (e.g., including hydrogen peroxide, H2O2). Exemplary, non-limiting wet development can include use of a base in an alkaline developer (e.g., an aqueous alkaline developer), such as those including ammonium, e.g., ammonium hydroxide (NH4OH); ammonium-based ionic liquids, e.g., tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), or other quaternary alkylammonium hydroxides; an organoamine, such as mono-, di-, and tri-organoamines (e.g., diethylamine, diethylamine, ethylenediamine, triethylenetetramine); or an alkanolamine, such as monoethanolamine, diethanolamine, triethanolamine, or diethyleneglycolamine. In other embodiments, the alkaline developer can include nitrogen-containing bases, e.g., compounds having the formula RN1NH2, RN1RN2NH, RN1RN2RN3N, or RN1RN2RN3RN4N+XN1−, where each of RN1, RN2, RN3, and RN4 is, independently, an organo substituent (e.g., optionally substituted alkyl or any described herein), or two or more organo substituents that can be joined together, and XN1− may comprise OH, F, Cl, Br, I, or other art-known quaternary ammonium cationic species. These bases may also comprise heterocyclyl nitrogen compounds known in the art, some of which are described herein. Non-limiting combinations include water with a basic developer.


Other development methodologies can include use of an acid in an acidic developer (e.g., an aqueous acidic developer or an acid developer in an organic solvent) that includes a halide (e.g., HCl or HBr), an organic acid (e.g., formic acid, acetic acid, or citric acid), or an organofluorine compound (e.g., trifluoroacetic acid); or use of an organic developer, such as a ketone (e.g., 2-heptanone, cyclohexanone, or acetone), an ester (e.g., γ-butyrolactone or ethyl 3-ethoxypropionate (EEP)), an alcohol (e.g., isopropyl alcohol (IPA)), or an ether, such as a glycol ether (e.g., propylene glycol methyl ether (PGME) or propylene glycol methyl ether acetate (PGMEA)), as well as combinations thereof. Non-limiting combinations include water with an acidic developer.


In particular embodiments, the positive tone developer is an aqueous alkaline developer (e.g., including NH4OH, TMAH, TEAH, TPAH, or TBAH). In other embodiments, the negative tone developer is an aqueous acidic developer, an acidic developer in an organic solvent, or an organic developer (e.g., HCl, HBr, formic acid, trifluoroacetic acid, 2-heptanone, IPA, PGME, PGMEA, or combinations thereof).


Any of the developers herein can include one or more surfactants. Surfactant can include a positive, negative, or neutral charge and may be selected from the group consisting of fluorinated or non-fluorinated surfactants. Non-limiting surfactants include quaternary ammonium salts, perfluorooctanoic acid ammonium salt, perfluorononanoic acid ammonium salt, fluorosurfactants, polyoxyethylene stearylether, polyoxyethylene oleyl ether, polyoxyethylene octylphenyl ether, polyoxyethylene nonylphenyl ether, polyethylene glycol dilaurate, polyethylene glycol distearate, alkylbenzene sulfonates, sodium sulfosuccinate, and sodium lauryl sulfate.


Wet development can include any useful process, including immersion development, puddle development, and spray development. After or during any of these processes, the substrate can be rotated to remove dissolved portions of the film while simultaneously drying the film.


The development process can include both wet and dry development processes. Such a process can include an initial wet development followed by a later dry development, or vice versa. Development can also occur in cycles, in which a plurality of wet development processes are used, a plurality of dry development processes are used, or a plurality of wet and dry development processes are employed.


Post-Application Processes

The methods herein can include any useful post-application processes, as described below.


For the backside and bevel clean process, the vapor and/or the plasma can be limited to a specific region of the wafer to ensure that only the backside and the bevel are removed, without any film degradation on the frontside of the wafer. The dry-deposited EUV photoresist films being removed are generally composed of Sn, O and C, but the same clean approaches can be extended to films of other metal oxide resists and materials. In addition, this approach can also be used for film strip and PR rework.


Suitable process conditions for a dry bevel edge and backside clean may be a reactant flow of 100-500 sccm (e.g., 500 sccm HCl, HBr, or H2 and Cl2 or Br2, BCl3 or H2), temperature of −10-120° C. (e.g., 20° C.), pressure of 20-500 mTorr (e.g., 300 mTorr), plasma power of 0-500 W at high frequency (e.g., 13.56 MHz), and for a time of about 10-20 sec, dependent on the photoresist film and composition and properties. It should be understood that while these conditions are suitable for some processing reactors, e.g., a Kiyo etch tool available from Lam Research Corporation, Fremont, CA, a wider range of process conditions may be used according to the capabilities of the processing reactor.


Photolithography processes typically involve one or more bake steps, to facilitate the chemical reactions required to produce chemical contrast between exposed and unexposed areas of the photoresist. For high volume manufacturing (HVM), such bake steps are typically performed on tracks where the wafers are baked on a hot-plate at a pre-set temperature under ambient air or in some cases N2 flow. More careful control of the bake ambient as well as introduction of additional reactive gas component in the ambient during these bake steps can help further reduce the dose requirement and/or improve pattern fidelity.


According to various aspects of this disclosure, one or more post treatments to metal and/or metal oxide-based photoresists after deposition (e.g., post-application bake (PAB)) and/or exposure (e.g., post-exposure bake (PEB)) and/or development (e.g., post-development bake (PDB)) are capable of increasing material property differences between exposed and unexposed photoresist and therefore decreasing dose to size (DtS), improving PR profile, and improving line edge and width roughness (LER/LWR) after subsequent dry development. Such processing can involve a thermal process with the control of temperature, gas ambient, and moisture, resulting in improved dry development performance in processing to follow. In some instances, a remote plasma might be used.


In the case of post-application processing (e.g., PAB), a thermal process with control of temperature, gas ambient (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used after deposition and before exposure to change the composition of unexposed metal and/or metal oxide photoresist. The change can increase the EUV sensitivity of the material and thus lower dose to size and edge roughness can be achieved after exposure and dry development.


In the case of post-exposure processing (e.g., incubating or PEB), a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used to change the composition of both unexposed and exposed photoresist. The change can increase the composition/material properties difference between the unexposed and exposed photoresist and the etch rate difference of dry development etch gas between the unexposed and exposed photoresist. A higher etch selectivity can thereby be achieved. Due to the improved selectivity, a squarer PR profile can be obtained with improved surface roughness, and/or less photoresist residual/scum. In particular embodiments, PEB can be performed in air and in the optional presence of moisture and CO2.


In the case of post-development processing (e.g., post development bake or PDB), a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH2, N2O, NO, Ar, He, or their mixtures) or under vacuum (e.g., with UV), and moisture can be used to change the composition of the unexposed photoresist. In particular embodiments, the condition also includes use of plasma (e.g., including O2, O3, Ar, He, or their mixtures). The change can increase the hardness of material, which can be beneficial if the film will be used as a resist mask when etching the underlying substrate.


In these cases, in alternative implementations, the thermal process could be replaced by a remote plasma process to increase reactive species to lower the energy barrier for the reaction and increase productivity. Remote plasma can generate more reactive radicals and therefore lower the reaction temperature/time for the treatment, leading to increased productivity.


Accordingly, one or multiple processes may be applied to modify the photoresist itself to increase dry development selectivity. This thermal or radical modification can increase the contrast between unexposed and exposed material and thus increase the selectivity of the subsequent dry development step. The resulting difference between the material properties of unexposed and exposed material can be tuned by adjusting process conditions including temperature, gas flow, moisture, pressure, and/or RF power. The large process latitude enabled by dry development, which is not limited by material solubility in a wet developer solvent, allows more aggressive conditions to be applied further enhancing the material contrast that can be achieved. The resulting high material contrast feeds back a wider process window for dry development and thus enables increased productivity, lower cost, and better defectivity performance.


A substantial limitation of wet-developed resist films is limited temperature bakes.


Since wet development relies on material solubility, heating to or beyond 220° C., for example, can greatly increase the degree of cross-linking in both exposed and unexposed regions of a metal-containing PR film such that both become insoluble in the wet development solvents, so that the film can no longer by reliably wet developed. For dry-developed resist films, in which the etch rate difference (i.e., selectivity) between the exposed and unexposed regions of the PR is relied upon for removal of just the exposed or unexposed portion of the resist, the treatment temperature in a PAB, PEB, or PDB can be varied across a much broader window to tune and optimize the treatment process, for example from about 90-250° C., such as 90-190° C., for PAB, and about 170-250° C. or more, such as 190-240° C., for PEB and/or PDB. Decreasing etch rate and greater etch selectivity has been found to occur with higher treatment temperatures in the noted ranges.


In particular embodiments, the PAB, PEB, and/or PDB treatments may be conducted with gas ambient flow in the range of 100-10000 sccm, moisture content in the amount of a few percent up to 100% (e.g., 20-500/), at a pressure between atmospheric and vacuum, and for a duration of about 1-15 minutes, for example about 2 minutes.


These findings can be used to tune the treatment conditions to tailor or optimize processing for particular materials and circumstances. For example, the selectivity achieved for a given EUV dose with a 220-250° C. PEB thermal treatment in air at about 20% humidity for about 2 minutes can be made similar to that for about a 30% higher EUV dose with no such thermal treatment. So, depending on the selectivity requirements/constraints of the semiconductor processing operation, a thermal treatment such as described herein can be used to lower the EUV dose needed. Or, if higher selectivity is required and higher dose can be tolerated, much higher selectivity, up to 100 times exposed vs. unexposed, can be obtained than would be possible in a wet development context.


Yet other steps can include in situ metrology, in which physical and structural characteristics (e.g., critical dimension, film thickness, etc.) can be assessed during the photolithography process. Modules to implement in situ metrology include, e.g., scatterometry, ellipsometry, downstream mass spectroscopy, and/or plasma enhanced downstream optical emission spectroscopy modules.


Apparatuses

The present disclosure also includes any apparatus configured to perform any methods described herein. In one embodiment, the apparatus for depositing a film includes a deposition module comprising a chamber for depositing an EUV-sensitive material as a film by providing a metal-containing precursor in the presence of an optional ligand-containing precursor; a patterning module comprising an EUV photolithography tool with a source of sub-30 nm wavelength radiation; and a development module comprising a chamber for developing the film.


The apparatus can further include a controller having instructions for such modules. In one embodiment, the controller includes one or more memory devices, one or more processors, and system control software coded with instructions for conducting deposition of the film or the capping layer. Such includes can include for, in the deposition module, depositing the resist film on a top surface of a substrate or a photoresist layer; in the patterning module, patterning the film with sub-30 nm resolution directly by EUV exposure, thereby forming a pattern within the film; and in the development module, developing the film. In particular embodiments, the development module provides for removal of the EUV exposed or EUV unexposed areas, thereby providing a pattern within the film.



FIG. 4 depicts a schematic illustration of an embodiment of process station 400 having a process chamber body 402 for maintaining a low pressure environment that is suitable for implementation of described dry stripping and development embodiments. A plurality of process stations 400 may be included in a common low pressure process tool environment. For example, FIG. 5 depicts an embodiment of a multi-station processing tool 500, such as a VECTOR® processing tool available from Lam Research Corporation, Fremont, CA. In some embodiments, one or more hardware parameters of the process station 400 including those discussed in detail below may be adjusted programmatically by one or more computer controllers 450.


A process station may be configured as a module in a cluster tool. FIG. 7 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition and patterning modules suitable for implementation of the embodiments described herein. Such a cluster process tool architecture can include resist deposition, resist exposure (EUV scanner), resist dry development and etch modules, as described herein with reference to FIG. 6 and FIG. 7.


In some embodiments, certain of the processing functions can be performed consecutively in the same module, for example dry development and etch. And embodiments of this disclosure are directed to methods and apparatus for receiving a wafer, including a photopatterned EUV resist thin film layer disposed on a layer or layer stack to be etched, to a dry development/etch chamber following photopatterning in an EUV scanner; dry developing photopatterned EUV resist thin film layer; and then etching the underlying layer using the patterned EUV resist as a mask, as described herein.


Returning to FIG. 4, process station 400 fluidly communicates with reactant delivery system 401a for delivering process gases to a distribution showerhead 406 by a connection 405. Reactant delivery system 401a optionally includes a mixing vessel 404 for blending and/or conditioning process gases, for delivery to showerhead 406. One or more mixing vessel inlet valves 420 may control introduction of process gases to mixing vessel 404. Where plasma exposure is used, plasma may also be delivered to the showerhead 406 or may be generated in the process station 400. Process gases can include, e.g., any described herein, such as a metal-containing precursor, a ligand-containing precursor, or a counter-reactant.



FIG. 4 includes an optional vaporization point 403 for vaporizing liquid reactant to be supplied to the mixing vessel 404. The liquid reactant can include a metal-containing precursor, a ligand-containing precursor, or a counter-reactant. In some embodiments, a liquid flow controller (LFC) upstream of vaporization point 403 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 400. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.


Showerhead 406 distributes process gases toward substrate 412. In the embodiment shown in FIG. 4, the substrate 412 is located beneath showerhead 406 and is shown resting on a pedestal 408. Showerhead 406 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to substrate 412.


In some embodiments, pedestal 408 may be raised or lowered to expose substrate 412 to a volume between the substrate 412 and the showerhead 406. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 450.


In some embodiments, pedestal 408 may be temperature controlled via heater 410. In some embodiments, the pedestal 408 may be heated to a temperature of greater than 0° C. and up to 300° C. or more, for example 50-120° C., such as about 65-80° C., during non-plasma thermal exposure of a photopatterned resist to dry development chemistry, such as HBr, HCl, or BCl3, as described in disclosed embodiments.


Further, in some embodiments, pressure control for process station 400 may be provided by a butterfly valve 418. As shown in the embodiment of FIG. 4, butterfly valve 418 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 400 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 400.


In some embodiments, a position of showerhead 406 may be adjusted relative to pedestal 408 to vary a volume between the substrate 412 and the showerhead 406. Further, it will be appreciated that a vertical position of pedestal 408 and/or showerhead 406 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 408 may include a rotational axis for rotating an orientation of substrate 412. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 450.


Where plasma may be used, for example in gentle plasma-based dry development embodiments and/or etch operations conducted in the same chamber, showerhead 406 and pedestal 408 electrically communicate with a radio frequency (RF) power supply 414 and matching network 416 for powering a plasma 407. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 414 and matching network 416 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are up to about 500 W.


In some embodiments, instructions for a controller 450 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a recipe phase may include instructions for setting a flow rate of a dry development chemistry reactant gas, such as HBr or HCl, and time delay instructions for the recipe phase. In some embodiments, the controller 450 may include any of the features described below with respect to system controller 550 of FIG. 5.


As described above, one or more process stations may be included in a multi station processing tool. FIG. 5 shows a schematic view of an embodiment of a multi station processing tool 500 with an inbound load lock 502 and an outbound load lock 504, either or both of which may include a remote plasma source. A robot 506 at atmospheric pressure is configured to move wafers from a cassette loaded through a pod 508 into inbound load lock 502 via an atmospheric port 510. A wafer is placed by the robot 506 on a pedestal 512 in the inbound load lock 502, the atmospheric port 510 is closed, and the load lock is pumped down. Where the inbound load lock 502 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment to treat the silicon nitride surface in the load lock prior to being introduced into a processing chamber 514. Further, the wafer also may be heated in the inbound load lock 502 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 516 to processing chamber 514 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 5 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.


The depicted processing chamber 514 includes four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 5. Each station has a heated pedestal (shown at 518 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between dry development and etch process modes. Additionally or alternatively, in some embodiments, processing chamber 514 may include one or more matched pairs of dry development and etch process stations. While the depicted processing chamber 514 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.



FIG. 5 depicts an embodiment of a wafer handling system 590 for transferring wafers within processing chamber 514. In some embodiments, wafer handling system 590 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non limiting examples include wafer carousels and wafer handling robots. FIG. 5 also depicts an embodiment of a system controller 550 employed to control process conditions and hardware states of process tool 500. System controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc.


In some embodiments, system controller 550 controls all of the activities of process tool 500. System controller 550 executes system control software 558 stored in mass storage device 554, loaded into memory device 556, and executed on processor 552. Alternatively, the control logic may be hard coded in the controller 550. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 558 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 500. System control software 558 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 558 may be coded in any suitable computer readable programming language.


In some embodiments, system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 554 and/or memory device 556 associated with system controller 550 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.


A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 518 and to control the spacing between the substrate and other parts of process tool 500.


A process gas control program may include code for controlling various gas compositions (e.g., HBr or HCl gas as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.


A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.


A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.


A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.


In some embodiments, there may be a user interface associated with system controller 550. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


In some embodiments, parameters adjusted by system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.


Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 550 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 500. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.


System controller 550 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate dry development and/or etch processes according to various embodiments described herein.


The system controller 550 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 550.


In some implementations, the system controller 550 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The system controller 550, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the system controller 550 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 550 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The system controller 550, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 550 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 550 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 550 is configured to interface with or control. Thus, as described above, the system controller 550 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the system controller 550 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


Inductively coupled plasma (ICP) reactors which, in certain embodiments, may be suitable for etch operations suitable for implementation of some embodiments, are now described. Although ICP reactors are described herein, in some embodiments, it should be understood that capacitively coupled plasma reactors may also be used.



FIG. 6 schematically shows a cross-sectional view of an inductively coupled plasma apparatus 600 appropriate for implementing certain embodiments or aspects of embodiments such as dry development and/or etch, an example of which is a Kiyo® reactor, produced by Lam Research Corp. of Fremont, CA. In other embodiments, other tools or tool types having the functionality to conduct the dry development and/or etch processes described herein may be used for implementation.


The inductively coupled plasma apparatus 600 includes an overall process chamber structurally defined by chamber walls 601 and a window 611. The chamber walls 601 may be fabricated from stainless steel or aluminum. The window 611 may be fabricated from quartz or other dielectric material. An optional internal plasma grid 650 divides the overall process chamber into an upper sub-chamber 602 and a lower sub-chamber 603. In most embodiments, plasma grid 650 may be removed, thereby utilizing a chamber space made of sub-chambers 602 and 603. A chuck 617 is positioned within the lower sub-chamber 603 near the bottom inner surface. The chuck 617 is configured to receive and hold a semiconductor wafer 619 upon which the etching and deposition processes are performed. The chuck 617 can be an electrostatic chuck for supporting the wafer 619 when present. In some embodiments, an edge ring (not shown) surrounds the chuck 617 and has an upper surface that is approximately planar with a top surface of the wafer 619, when present over the chuck 617. The chuck 617 also includes electrostatic electrodes for chucking and dechucking the wafer 619. A filter and DC clamp power supply (not shown) may be provided for this purpose.


Other control systems for lifting the wafer 619 off the chuck 617 can also be provided. The chuck 617 can be electrically charged using an RF power supply 623. The RF power supply 623 is connected to matching circuitry 621 through a connection 627. The matching circuitry 621 is connected to the chuck 617 through a connection 625. In this manner, the RF power supply 623 is connected to the chuck 617. In various embodiments, a bias power of the electrostatic chuck may be set at about 50 V or may be set at a different bias power depending on the process performed in accordance with disclosed embodiments. For example, the bias power may be between about 20 V and about 100 V, or between about 30 V and about 150 V.


Elements for plasma generation include a coil 633 positioned above window 611. In some embodiments, a coil is not used in disclosed embodiments. The coil 633 is fabricated from an electrically conductive material and includes at least one complete turn. The example of a coil 633 shown in FIG. 6 includes three turns. The cross sections of coil 633 are shown with symbols, and coils having an “X” extend rotationally into the page, while coils having a “e” extend rotationally out of the page. Elements for plasma generation also include an RF power supply 641 configured to supply RF power to the coil 633. In general, the RF power supply 641 is connected to matching circuitry 639 through a connection 645. The matching circuitry 639 is connected to the coil 633 through a connection 643. In this manner, the RF power supply 641 is connected to the coil 633. An optional Faraday shield 649 is positioned between the coil 633 and the window 611. The Faraday shield 649 may be maintained in a spaced apart relationship relative to the coil 633. In some embodiments, the Faraday shield 649 is disposed immediately above the window 611. In some embodiments, a Faraday shield is between the window 611 and the chuck 617. In some embodiments, the Faraday shield is not maintained in a spaced apart relationship relative to the coil 633. For example, a Faraday shield may be directly below the window without a gap. The coil 633, the Faraday shield 649, and the window 611 are each configured to be substantially parallel to one another. The Faraday shield 649 may prevent metal or other species from depositing on the window 611 of the process chamber.


Process gases may be flowed into the process chamber through one or more main gas flow inlets 660 positioned in the upper sub-chamber 602 and/or through one or more side gas flow inlets 670. Likewise, though not explicitly shown, similar gas flow inlets may be used to supply process gases to a capacitively coupled plasma processing chamber. A vacuum pump, e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 640, may be used to draw process gases out of the process chamber and to maintain a pressure within the process chamber. For example, the vacuum pump may be used to evacuate the lower sub-chamber 603 during a purge operation of ALD. A valve-controlled conduit may be used to fluidically connect the vacuum pump to the process chamber so as to selectively control application of the vacuum environment provided by the vacuum pump. This may be done employing a closed loop-controlled flow restriction device, such as a throttle valve (not shown) or a pendulum valve (not shown), during operational plasma processing. Likewise, a vacuum pump and valve controlled fluidic connection to the capacitively coupled plasma processing chamber may also be employed.


During operation of the apparatus 600, one or more process gases may be supplied through the gas flow inlets 660 and/or 670. In certain embodiments, process gas may be supplied only through the main gas flow inlet 660, or only through the side gas flow inlet 670. In some cases, the gas flow inlets shown in the figure may be replaced by more complex gas flow inlets, one or more showerheads, for example. The Faraday shield 649 and/or optional grid 650 may include internal channels and holes that allow delivery of process gases to the process chamber. Either or both of Faraday shield 649 and optional grid 650 may serve as a showerhead for delivery of process gases. In some embodiments, a liquid vaporization and delivery system may be situated upstream of the process chamber, such that once a liquid reactant or precursor is vaporized, the vaporized reactant or precursor is introduced into the process chamber via a gas flow inlet 660 and/or 670.


Radio frequency power is supplied from the RF power supply 641 to the coil 633 to cause an RF current to flow through the coil 633. The RF current flowing through the coil 633 generates an electromagnetic field about the coil 633. The electromagnetic field generates an inductive current within the upper sub-chamber 602. The physical and chemical interactions of various generated ions and radicals with the wafer 619 etch features of and selectively deposit layers on the wafer 619.


If the plasma grid 650 is used such that there is both an upper sub-chamber 602 and a lower sub-chamber 603, the inductive current acts on the gas present in the upper sub-chamber 602 to generate an electron-ion plasma in the upper sub-chamber 602. The optional internal plasma grid 650 limits the amount of hot electrons in the lower sub-chamber 603. In some embodiments, the apparatus 600 is designed and operated such that the plasma present in the lower sub-chamber 603 is an ion-ion plasma.


Both the upper electron-ion plasma and the lower ion-ion plasma may contain positive and negative ions, though the ion-ion plasma will have a greater ratio of negative ions to positive ions. Volatile etching and/or deposition byproducts may be removed from the lower sub-chamber 603 through port 622. The chuck 617 disclosed herein may operate at elevated temperatures ranging between about 10-250° C. The temperature will depend on the process operation and specific recipe.


Apparatus 600 may be coupled to facilities (not shown) when installed in a clean room or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to apparatus 600, when installed in the target fabrication facility. Additionally, apparatus 600 may be coupled to a transfer chamber that allows robotics to transfer semiconductor wafers into and out of apparatus 600 using typical automation.


In some embodiments, a system controller 630 (which may include one or more physical or logical controllers) controls some or all of the operations of a process chamber. The system controller 630 may include one or more memory devices and one or more processors. In some embodiments, the apparatus 600 includes a switching system for controlling flow rates and durations when disclosed embodiments are performed. In some embodiments, the apparatus 600 may have a switching time of up to about 600 ms, or up to about 750 ms. Switching time may depend on the flow chemistry, recipe chosen, reactor architecture, and other factors.


In some implementations, the system controller 630 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).


These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be integrated into the system controller 630, which may control various components or subparts of the system or systems. The system controller, depending on the processing parameters and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.


Broadly speaking, the system controller 630 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication or removal of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.


The system controller 630, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 630 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the system controller 630 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.


Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an ALE chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.


As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.


EUVL patterning may be conducted using any suitable tool, often referred to as a scanner, for example the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL. The EUVL patterning tool may be a standalone device from which the substrate is moved into and out of for deposition and etching as described herein. Or, as described below, the EUVL patterning tool may be a module on a larger multi-component tool. FIG. 7 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition, EUV patterning and dry development/etch modules that interface with a vacuum transfer module, suitable for implementation of the processes described herein. While the processes may be conducted without such vacuum integrated apparatus, such apparatus may be advantageous in some implementations.



FIG. 7 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of processes described herein. The arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system. Deposition and patterning modules are vacuum-integrated, in accordance with the requirements of a particular process. Other modules, such as for etch, may also be included on the cluster.


A vacuum transport module (VTM) 738 interfaces with four processing modules 720a-720d, which may be individually optimized to perform various fabrication processes. By way of example, processing modules 720a-720d may be implemented to perform deposition, evaporation, ELD, dry development, etch, strip, and/or other semiconductor processes. For example, module 720a may be an ALD reactor that may be operated to perform in a non-plasma, thermal atomic layer depositions as described herein, such as a Vector tool, available from Lam Research Corporation, Fremont, CA. And module 720b may be a PECVD tool, such as the Lam Vector®. It should be understood that the figure is not necessarily drawn to scale.


Airlocks 742 and 746, also known as a loadlocks or transfer modules, interface with the VTM 738 and a patterning module 740. For example, as noted above, a suitable patterning module may be the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL. This tool architecture allows for work pieces, such as semiconductor substrates or wafers, to be transferred under vacuum so as not to react before exposure. Integration of the deposition modules with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H2O, O2, etc.


As noted above, this integrated architecture is just one possible embodiment of a tool for implementation of the described processes. The processes may also be implemented with a more conventional stand-alone EUVL scanner and a deposition reactor, such as a Lam Vector tool, either stand alone or integrated in a cluster architecture with other tools, such as etch, strip etc. (e.g., Lam Kiyo or Gamma tools), as modules, for example as described with reference to FIG. 7 but without the integrated patterning module.


Airlock 742 may be an “outgoing” loadlock, referring to the transfer of a substrate out from the VTM 738 serving a deposition module 720a to the patterning module 740, and airlock 746 may be an “ingoing” loadlock, referring to the transfer of a substrate from the patterning module 740 back in to the VTM 738. The ingoing loadlock 746 may also provide an interface to the exterior of the tool for access and egress of substrates. Each process module has a facet that interfaces the module to VTM 738. For example, deposition process module 720a has facet 736. Inside each facet, sensors, for example, sensors 1-18 as shown, are used to detect the passing of wafer 726 when moved between respective stations. Patterning module 740 and airlocks 742 and 746 may be similarly equipped with additional facets and sensors, not shown.


Main VTM robot 722 transfers wafer 726 between modules, including airlocks 742 and 746. In one embodiment, robot 722 has one arm, and in another embodiment, robot 722 has two arms, where each arm has an end effector 724 to pick wafers such as wafer 726 for transport. Front-end robot 744, in is used to transfer wafers 726 from outgoing airlock 742 into the patterning module 740, from the patterning module 740 into ingoing airlock 746. Front-end robot 744 may also transport wafers 726 between the ingoing loadlock and the exterior of the tool for access and egress of substrates. Because ingoing airlock module 746 has the ability to match the environment between atmospheric and vacuum, the wafer 726 is able to move between the two pressure environments without being damaged.


It should be noted that a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer between the deposition to the EUVL tool to allow the substrate to degas prior to entry into the patterning tool. Outgoing airlock 742 may provide this function by holding the transferred wafers at a lower pressure, no higher than the pressure in the patterning module 740, for a period of time and exhausting any off-gassing, so that the optics of the patterning tool 740 are not contaminated by off-gassing from the substrate. A suitable pressure for the outgoing, off-gassing airlock is no more than 1E-8 Torr.


In some embodiments, a system controller 750 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules. It should be noted that the controller can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. The system controller 750 may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.


The system control software may include instructions for controlling the timing of application and/or magnitude of any aspect of tool or module operation. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable compute readable programming language. In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller.


The instructions for setting process conditions for condensation, deposition, evaporation, patterning and/or etching phase may be included in a corresponding recipe phase, for example.


In various embodiments, an apparatus for forming a negative pattern mask is provided. The apparatus may include a processing chamber for patterning, deposition and etch, and a controller including instructions for forming a negative pattern mask. The instructions may include code for, in the processing chamber, patterning a feature in a chemically amplified (CAR) resist on a semiconductor substrate by EUV exposure to expose a surface of the substrate, dry developing the photopatterned resist, and etching the underlying layer or layer stack using the patterned resist as a mask.


It should be noted that the computer controlling the wafer movement can be local to the cluster architecture or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network.


CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. Further, while the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that the specific embodiments are not intended to limit the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims
  • 1. A patterning radiation-sensitive film comprising an organometal-oxo material, wherein the material comprises a metal, an oxygen, and a C1-4 haloaliphatic or C1-4 aliphatic, and wherein the C1-4 aliphatic is selected from the group consisting of C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl.
  • 2. The film of claim 1, wherein the metal is tin (Sn).
  • 3. The film of claim 1, wherein the C1-4 haloaliphatic is selected from the group consisting of C1-4 haloalkyl, C2-4 haloalkenyl, and C2-4 haloalkynyl.
  • 4. The film of claim 1, wherein the C1-4 haloaliphatic comprises halo-substituted methyl comprising one, two, or three halo substitutions; or β-halo-substituted ethyl comprising one, two, or three halo substitutions.
  • 5. The film of claim 1, wherein the C1-4 haloaliphatic comprises one or more fluoro substitutions or iodo substitutions.
  • 6. The film of claim 1, wherein the C1-4 aliphatic is selected from the group consisting of methyl, ethyl, vinyl, ethynyl, propenyl, and propargyl.
  • 7. The film of claim 1, wherein a dose-to-gel of the film is lower than that of a non-halogenated film comprising metal, oxygen, and C3-4 alkyl.
  • 8. The film of claim 1, further comprising a vertical gradient extending from a top surface of the film to a lower portion of the film, wherein an upper portion comprises C3-4 alkyl groups and the lower portion comprises C1-4 haloaliphatic, C1-2 alkyl, C2-4 alkenyl, or C2-4 alkynyl groups.
  • 9. The film of claim 1, wherein the material comprises a network of metal-oxygen bonds and metal-C1-4 haloaliphatic bonds or metal-C1-4 aliphatic bonds.
  • 10. The film of claim 1, wherein the patterning radiation-sensitive film comprises an extreme ultraviolet (EUV)-sensitive film.
  • 11. A method of employing a resist, the method comprising: depositing a metal-containing precursor on a surface of a substrate to provide a resist film, wherein the resist film comprises a metal, an oxygen, and a C1-4 haloaliphatic or a C1-4 aliphatic,wherein the C1-4 haloaliphatic is selected from the group consisting of C1-4 haloalkyl, C2-4 haloalkenyl, and C2-4 haloalkynyl, andwherein the C1-4 aliphatic is selected from the group consisting of C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl.
  • 12. The method of claim 11, wherein the metal-containing precursor comprises a structure having formula (I): MaRbLc  (I),wherein: each M is, independently, a metal;each R is, independently, optionally substituted C1-4 haloalkyl, optionally substituted C2-4 haloalkenyl, optionally substituted C2-4 haloalkynyl, optionally substituted C1-2 alkyl, optionally substituted C2-4 alkenyl, or optionally substituted C2-4 alkynyl;each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a ligand-containing precursor;a≥1; b≥1; and c≥1.
  • 13. The method of claim 12, wherein the metal-containing precursor comprises a structure having formula (Ia): SnRbL3−b  (Ia),wherein: each R is, independently, optionally substituted C1-4 haloalkyl, optionally substituted C2-4 haloalkenyl, optionally substituted C2-4 haloalkynyl, optionally substituted C1-2 alkyl, optionally substituted C2-4 alkenyl, or optionally substituted C2-4 alkynyl;each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, or a ligand that is reactive with a counter-reactant and/or with a ligand-containing precursor; andb is 1 or 2.
  • 14. The method of claim 11, wherein said depositing comprises providing the metal-containing precursor with a ligand-containing precursor simultaneously or sequentially.
  • 15. The method of claim 14, wherein the metal-containing precursor comprises a structure having formula (III): MaLc  (III),wherein: each M is, independently, a metal;each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant and/or with a ligand-containing precursor;a≥1; and c≥1.
  • 16. The method of claim 15, wherein the ligand-containing precursor comprises a structure having formula (IV): RfLg  (IV),wherein: each R is, independently, optionally substituted C1-4 haloalkyl, optionally substituted C2-4 haloalkenyl, optionally substituted C2-4 haloalkynyl, optionally substituted C1-2 alkyl, optionally substituted C2-4 alkenyl, or optionally substituted C2-4 alkynyl;each L is, independently, halo, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, a ligand, an ion, or a moiety that is reactive with a counter-reactant;f≥1; and g≥1.
  • 17. The method of claim 16, wherein the metal-containing precursor comprising formula (III) reacts with the ligand-containing precursor comprising formula (IV) to provide a precursor comprising a structure having formula (I).
  • 18. The method of claim 11, wherein said depositing comprises providing the metal-containing precursor and an optional ligand-containing precursor in vapor form.
  • 19. The method of claim 11, wherein said depositing further comprises providing a second metal-containing precursor to form an upper portion of the film, thereby providing a gradient film.
  • 20. The method of claim 11, wherein said depositing further comprises providing a counter-reactant.
  • 21. The method of claim 20, wherein the counter-reactant comprises water vapor.
  • 22. The method of claim 11, wherein the resist film comprises an Extreme Ultraviolet (EUV)-sensitive film.
  • 23. The method of claim 11, wherein the resist film comprises organotin oxy, organotin oxide, organotin oxide hydroxide, halo organotin oxy, halo organotin oxide, or halo organotin oxide hydroxide.
  • 24. The method of claim 11, wherein the metal of the resist film comprises tin (Sn), and wherein the C1-4 haloaliphatic of the resist film comprises a halo-substituted methyl comprising one, two, or three halo substitutions or a β-halo-substituted ethyl comprising one, two, or three halo substitutions
  • 25. The method of claim 11, wherein the resist film comprises an organometal-oxo material comprising a network of metal-oxygen bonds and metal-C1-4 haloalkyl bonds or metal-C1-2 alkyl bonds.
  • 26. The method of claim 11, wherein a dose-to-gel of the resist film is lower than that of a non-halogenated film comprising metal, oxygen, and C3-4 alkyl.
  • 27. The method of claim 11, further comprising: patterning the resist film by exposure to patterned radiation, thereby providing an exposed film having radiation exposed areas and radiation unexposed areas; anddeveloping the exposed film, thereby removing the radiation exposed areas to provide a pattern within a positive tone resist film or removing the radiation unexposed areas to provide a pattern within a negative tone resist film.
  • 28. The method of claim 27, wherein said patterning comprises an EUV exposure having a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient.
  • 29. The method of claim 27, wherein said developing comprises dry development or wet development.
  • 30. The method of claim 29, wherein the dry development is employed with an exposed film comprising tin bonded to fluorine or iodine atoms.
  • 31. An apparatus for forming a resist film, the apparatus comprising: a deposition module comprising a chamber for depositing a resist film; anda controller including one or more memory devices, one or more processors, and system control software coded with instructions comprising machine-readable instructions for: in the deposition module, causing deposition of a metal-containing precursor and an optional ligand-containing precursor on a top surface of a semiconductor substrate to form the resist film, wherein the resist film comprises a metal, an oxygen, and a C1-4 haloaliphatic or C1-4 aliphatic, and wherein the C1-4 aliphatic is selected from the group consisting of C1-2 alkyl, C2-4 alkenyl, and C2-4 alkynyl.
  • 32. The apparatus of claim 31, wherein the resist film comprises an Extreme Ultraviolet (EUV)-sensitive film.
  • 33. The apparatus of claim 31, further comprising: a patterning module comprising a photolithography tool with a source of sub-300 nm wavelength radiation, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the patterning module, causing patterning of the resist film with sub-300 nm resolution directly by patterning radiation exposure, thereby forming an exposed film having radiation exposed areas and radiation unexposed areas.
  • 34. The apparatus of claim 33, wherein the source for the photolithography tool is a source of sub-30 nm wavelength radiation.
  • 35. The apparatus of claim 34, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the patterning module, causing patterning of the resist film with sub-nm resolution directly by EUV exposure, thereby forming the exposed film having EUV exposed areas and EUV unexposed areas.
  • 36. The apparatus of claim 33, further comprising: a development module comprising a chamber for developing the resist film, wherein the instructions comprising machine-readable instructions further comprises instructions for: in the development module, causing development of the exposed film to remove the radiation exposed areas or the radiation unexposed areas to provide a pattern within the resist film.
INCORPORATION BY REFERENCE

A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes.

PCT Information
Filing Document Filing Date Country Kind
PCT/US2022/014281 1/28/2022 WO
Related Publications (1)
Number Date Country
20240134274 A1 Apr 2024 US
Provisional Applications (1)
Number Date Country
63200235 Feb 2021 US