Method and apparatus for filling a recess formed within a substrate surface

Information

  • Patent Grant
  • 12176243
  • Patent Number
    12,176,243
  • Date Filed
    Tuesday, December 20, 2022
    2 years ago
  • Date Issued
    Tuesday, December 24, 2024
    7 months ago
Abstract
There is provided a method of filling one or more recesses by providing the substrate in a reaction chamber and introducing a first reactant to the substrate with a first dose, introducing a second reactant to the substrate with a second dose, wherein the first and the second doses overlap in an overlap area where the first and second reactants react and leave an initially substantially unreacted area where the first and the second areas do not overlap; introducing a third reactant to the substrate with a third dose, the third reactant reacting with the first or second reactant to form deposited material; and etching the deposited material. An apparatus for filling a recess is also disclosed.
Description
FIELD OF DISCLOSURE

The present invention generally relates to methods and apparatus for manufacturing electronic devices. More particularly, the invention relates to methods and apparatus for filling one or more recesses formed within a surface of a substrate during the manufacturing of electronic devices.


BACKGROUND

During manufacturing of electronic devices, such as an integrated circuit (IC), recesses, such as gaps or trenches, can be created within the substrate. Filling the recesses can take a variety of forms, depending upon the specific application.


A typical trench filling process may be subjected to drawbacks, including void formation in the trench. Voids may be formed when the filling material forms a constriction near the top of the trench before the trench is completely filled. Such voids may compromise device isolation of the devices on the integrated circuit as well as the overall structural integrity of the IC. Unfortunately, preventing void formation during trench fill may often place size constraints on the trenches, which may limit device packing density of the devices.


If the trenches are filled for device isolation, a key parameter in measuring the effectiveness of device isolation may be the field threshold voltage, that is, the voltage necessary to create a parasitic current linking adjacent isolated devices. The field threshold voltage may be influenced by a number of physical and material properties, such as trench width, dielectric constant of the trench filling material, substrate doping, field implant dose and substrate bias during processing.


Void formation may be mitigated by decreasing trench depth and/or tapering trench sidewalls so that the openings of the trench are wider at the top than at the bottom of the trench. A trade off in decreasing the trench depth may be reducing the effectiveness of the device isolation, while the larger top openings of trenches with tapering sidewalls may use up additional integrated circuit real estate. Such problems can become increasingly problematic when attempting to reduce device dimensions. Accordingly, improved methods and apparatus for filling a recess may be desired.


SUMMARY

Various embodiments of the present disclosure relate to methods of filling a recess, such as a trench, within a surface of a substrate. While the ways in which various embodiments of the present disclosure address drawbacks of prior methods are discussed in more detail below, in general, various embodiments of the disclosure provide improved methods and apparatus suitable for filling recesses within a substrate surface. For example, exemplary methods and apparatus can be used to seamlessly fill high aspect ratio recesses with desired material, such as dielectric material.


In accordance with at least one embodiment of the disclosure, a method of filling a recess formed within a substrate surface includes the steps of: providing the substrate in a reaction chamber, introducing a first reactant to the substrate with a first dose on the surface of the recess, introducing a second reactant to the substrate with a second dose on the surface of the recess, wherein the first and the second doses overlap in an overlap area and leave an area where the first and the second doses do not overlap, introducing a third reactant to the substrate with a third dose, the third reactant reacting with the first or second reactant in the area where the first and the second doses do not overlap, thereby depositing material, and etching the deposited material within the recess. In accordance with various aspects, a concentration of the first reactant in the overlap area differs from a concentration of the first reactant in the area where the first and the second areas do not overlap. A number of deposition cycles including the steps of introducing a first reactant to the substrate, introducing a second reactant to the substrate, and introducing a third reactant to the substrate can be repeated one or more times prior to the method proceeding to the step of etching the deposited material. Further, one or more deposition cycles in combination with the step of etching the deposited material can be repeated a number of times to fill the recess. In accordance with further aspects, one of the first and second reactants is introduced with a saturating dose and the other one of the first and second reactants is introduced with a subsaturating dose. In accordance with yet further examples, during the step of etching the deposited material, an etch rate of the material can be higher in the overlap area relative to the area where the first and the second areas do not overlap. By having the doses of the first and second reactants, such that the reactants overlap in an overlap area in the top of the recess, the first and second reactants can react in the top of the recess to block or mitigate further reactions in the top of the recess. In an initially unreacted area in the bottom of the recess where the first and the second reactant did not overlap, the first or second reactant may still react with the third reactant, thereby filling the recess from the bottom upwards.


According to a further embodiment, there is provided a semiconductor processing apparatus, for example, to provide an improved or at least an alternative recess filling method, such as a method described herein. In accordance with at least one embodiment of the disclosure, a semiconductor processing apparatus includes one or more reaction chambers for accommodating a substrate comprising a surface having a recess formed therein; a first source for a first reactant in gas communication via a first valve with one of the reaction chambers; a second source for a second reactant in gas communication via a second valve with one of the reaction chambers; a third source for a third reactant in gas communication via a third valve with one of the reaction chambers; an etchant source in gas communication via a fourth valve with one of the reaction chambers; and a controller operably connected to the first, second, third, and fourth gas valves and configured and programmed to control: introducing the first reactant to the substrate with a first dose on the recess; introducing a second reactant to the substrate with a second dose on the recess, wherein the first and the second doses overlap in an overlap area and leave an area where the first and the second areas do not overlap (e.g., where a concentration of one of the first and second doses is negligible or less than about one percent of the other of the first or second reactant and/or less than about one percent of the concentration the same reactant in the overlap area); introducing a third reactant to the substrate with a third dose, the third reactant reacting with the first or second reactant in the area where the first and the second areas do not overlap, thereby depositing material; and etching the deposited material in the recess. A deposition cycle including introducing the first reactant, introducing a second reactant, and introducing a third reactant can be repeated as noted above prior to the step of etching the deposited material. Similarly, one or more deposition cycles in combination with the step of etching the deposited material can be repeated a number of times to fill the recess.


In accordance with yet further exemplary embodiments of the disclosure, a semiconductor structure can be formed using a method and/or an apparatus as described herein.


For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of certain embodiments having reference to the figures, the invention not being limited to any particular embodiment(s) disclosed.





BRIEF DESCRIPTION OF THE DRAWING FIGURES

A more complete understanding of exemplary embodiments of the present disclosure can be derived by referring to the detailed description and claims when considered in connection with the following illustrative figures.



FIG. 1A illustrates a schematic representation of a PEALD (plasma-enhanced atomic layer deposition) apparatus suitable for filling a recess in accordance with at least one embodiment of the present disclosure.



FIG. 1B illustrates a schematic representation of a precursor supply system using a flow-pass system (FPS) usable in accordance with at least one embodiment of the present disclosure.



FIG. 2 illustrates a flowchart of a method for filling a recess in accordance with at least one embodiment of the disclosure.



FIG. 3 illustrates a flowchart of a method for filling a recess in accordance with another embodiment of the disclosure.



FIG. 4 illustrates a structure in accordance with another embodiment of the disclosure.





DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS

Although certain embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention disclosed should not be limited by the particular disclosed embodiments described below.


Turning now to the figures, FIG. 2 illustrates a flowchart of a method 100 in accordance with at least one embodiment of the disclosure. Method 100 can be used to, for example, fill one or more recesses, sometimes referred to as gaps or features, created during manufacturing of a structure. The recesses may be less than 40 or even 20 nm wide and/or may be more than 40, 100, 200 or even 400 nm deep. An aspect ratio of the recesses can range from, for example, about 5:1 to about 30:1.


As illustrated in FIG. 2, method 100 includes the steps of providing the substrate in a reaction chamber (step 105), introducing a first reactant to the substrate with a first dose on the surface of the recess (step 110), introducing a second reactant to the substrate with a second dose on the surface of the recess (step 120), introducing a third reactant to the substrate with a third dose, the third reactant reacting with the first or second reactant in the area where the first and the second dose do not overlap, thereby depositing material (step 130), and etching the deposited material in the recess (step 140).


Step 105 includes providing a substrate to a reaction chamber. As used herein, a “substrate” refers to any material having a surface onto which material can be deposited. A substrate may include a bulk material such as silicon (e.g., single crystal silicon) or may include one or more layers overlying the bulk material. Further, the substrate may include various topologies, such as recesses (e.g., trenches or vias), lines, and the like formed within or on at least a portion of a layer of the substrate. By way of particular example, a substrate can include layers of SiN, SiOx and/or W, at least one of these layers having at least one recess formed therein.


During step 105, the substrate may be brought to a desired temperature using, for example, a substrate heater and/or radiative or other heaters. A temperature during steps 110-130 or 110-140 can range from about 100° C. to about 550° C. or about 250° C. to about 450° C. A pressure within the reaction chamber during such steps can be from about 1 to about 9 or about 3 to about 7 Torr.


In accordance with various examples of the disclosure, by having the doses of the first and second reactants, such that the reactants overlap in the top of the recess, the first and second reactants can react in the top (overlap area), blocking or substantially blocking further reactions in the top of the recess. In the bottom of the recess, where the first and the second reactants did not overlap (e.g., where a concentration of one of the reactants is significantly lower—e.g., less than about one percent of the other and/or less than about one percent of the concentration in the overlap area), the reactant can still react with the third reactant to thereby deposit material.


In accordance with examples of the disclosure, the dose of one of the first and the second reactants is saturating (e.g., relatively high amount or concentration and/or long pulse time), so that said one of the first and second reactants covers the whole or substantially the whole recess, while the dose of the other one of the first and second reactants is subsaturating (e.g., relatively short pulse time and/or low concentration/amount) to facilitate the first and second reactants only or substantially only overlapping in the top (overlap) area of the recess. In this context, relatively low can mean about ten, five, two, or one percent or less of a concentration and/or pulse amount or time of one reactant compared to another reactant, and relatively high can mean the other reactant has a concentration and/or pulse amount or time that is about 10, 20, 50, or about 100 times greater for one reactant than the other.


A deposition cycle can include steps 110-130. The deposition cycle can be repeated multiple times to fill the recess as depicted by the loop 150. The deposition cycle may be repeated, for example, between about 1 to 10,000 times, about 5 to 2,000 times or between about 10 and 1,000 times. Any excess reactant and/or byproduct can be removed after one or more (e.g., each) of steps 110-130 and/or 140 to circumvent direct reactions between the reactants that might otherwise cause contamination within the reaction chamber.


Method 100 may also be repeated partly via loop 160 if, for example, the top of the recess is still blocking the reactants for reaction in the bottom area. Also, combinations of a complete repeat via loop 150 and a partial repeat via loop 160 may be made. In this way, the speed of the recess fill method may be increased.


As noted above, one of the first and the second reactants can be introduced with a saturating (e.g., relatively large or long dose) and the other one of the first and second reactants can be introduced with a subsaturating (e.g., relatively low or short dose). The reactant that is provided with the saturating dose can penetrate deep in the recess to reach the bottom of the recess, whereas the reactant that is provided with the subsaturating dose will not penetrate deep in the recess and stay in the area. The reaction between the first and second reactant may therefore only or substantially only occur in the top/overlap area of the recess, thereby blocking or substantially blocking further reaction in the top/overlap area.


One of the first and the second reactants may be a potential growth reactant, whereas the other one of the first and second reactants may comprise a low growth reactant, providing a relatively low growth in combination with the potential growth reactant. The reaction between the first and second reactants may therefore result in a relatively low growth in the top/overlap area of the recess, such that the top/overlap area may not be blocked by depositing material before the bottom of the recess is substantially filled.


One of the first and the second reactants may be introduced to cover said corresponding one of the first and second areas, which substantially covers the total surface of the one or more recesses. The one of the first and second reactants may be the potential growth reactant providing potential growth dependent on the other reactant.


The one of the first and second reactants which is the potential growth reactant may comprise silicon. The first/second/potential growth reactant can be selected from the group consisting of silane amines, siloxane amines, silazane amines, (amino silanes, amino siloxanes, and amino silazanes). For example, the potential growth reactant may comprise silanediamine such as N,N,N′,N′-tetraethyl silanediamine, such as sold by Air Liquide (Paris, France) under the name ALOHATM SAM.24.


The substantial low growth reactant may comprise one or more of He, Ne, Ar, Kr, Xe, N2, NH3 and N2H4, which may optionally be activated by a (direct or remote) plasma. Nitrogen in combination with a potential growth reactant can result in a relatively low growth in the top of the recess. In some cases, it may be advantageous to provide the substantial low growth reactant before providing the potential growth reactant.


The third reactant may comprise a high growth reactant providing a relatively high growth in combination with the potential growth reactant. The third reactant may be introduced with a relatively large dose to ensure that the bottom of the recess is reached by the third reactant so that the third reactant may react with the potential growth reactant in the bottom of the recess. Reaction in the top of the trench for the third reactant can be blocked because the first and the second reactants may already have been reacted in the top of the recess.


The third reactant may comprise an oxidant, such as one or more reactants selected from the group consisting of water, hydrogen peroxide, molecular oxygen and ozone, which may be activated by a (direct or remote) plasma. Oxygen in combination with a silane amine (e.g., silanediamine, such as N,N,N′,N′-tetraethyl silanediamine) may result in a relatively high growth in the bottom of the recess. For example, one may provide N,N,N′,N′-tetraethyl silanediamine, N plasma, and then 0 plasma in a cyclic repetitive reaction to deposit material. Alternatively, one may provide N plasma, N,N,N′,N′-tetraethyl silanediamine, and then O plasma in a cyclic repetitive reaction to deposit the material.


As illustrated in FIG. 2, after at least one deposition cycle (steps 110-130), the step of etching deposited material 140 is performed. Step 140 can be configured, such that an etch rate of deposited material is higher in a top (e.g., overlap) area of the recess, relative to a bottom area (e.g., area where the doses to not overlap) of the recess. A number of repetitions of loops 150, 160, relative to a loop 170 can be manipulated based on, for example, the dimensions (e.g., height, width, or aspect ratio), configurations (e.g., how close together the recesses are), and/or geometry (e.g., the shape of the recess opening and/or shape of the walls of the recess) of the recesses.


In accordance with various examples of the disclosure, step 140 includes a dry etch process. An etchant used during step 140 can be activated by, for example, a direct or remote plasma unit. Exemplary etchants that can be used include a halogen; specific examples include CxFy, where x and y are integers (e.g., CF4, C2F6, C3F8, or C4F8), CHF3, NF3, SF6, Cl2, BCl3, HBr, and HI. Additive gasses, such as N2, O2, Ar, He, NOx, and the like can additionally be used to control the etching of deposited material during step 140. By way of particular example, step 140 can be performed at a substrate temperature of about 25° C. to about 550° C. or about 100° C. to about 300° C. The pressure within the reaction chamber can be about 0.05 Torr to about 5 Torr or about 0.2 Torr to about 3 Torr. And a flowrate of an etchant and/or additive can be from about 30 sccm to about 3000 sccm or about 100 sccm to about 1000 sccm.



FIG. 3 illustrates a flowchart of a method 200 in accordance with at least one embodiment of the disclosure. Method 200 is similar to method 100, except method 200 includes a step 230 of introducing one of the first and second reactants again to the substrate before the third reactant. Steps 205, 210, 220, 240, and 250 can be the same or similar to steps 105-140 described above in connection with FIG. 2. Further, method 200 can include loops 260, 270 to repeat portions or all of method 200.


For example, one may provide a silicon reactant, such as N,N,N′,N′-tetraethyl silanediamine, a nitrogen reactant, e.g., comprising nitrogen plasma, and an oxygen reactant, e.g., comprising an oxygen plasma and then nitrogen plasma one or more times before proceeding to the step of etching deposited material (step 250). Loop 260 and/or loop 270 may be repeated, for example, about 1 to 10,000 times, 5 to 2,000 times or between 10 and 1,000 times. By adding step 230 (e.g., doubling the nitrogen plasma steps) in the sequence, it is better assured that the reactants in the top have reacted and are substantially deactivated before the third reactant (e.g., oxygen) plasma is provided.


The third reactant may comprise an oxidant—e.g., ozone and/or hydrogen peroxide which in combination with silanediamine such as N,N,N′,N′-tetraethyl silanediamine may result in high growth. Ozone, and/or hydrogen peroxide do not need to be activated by a plasma to react with the silanediamine to provide for relatively high growth and that is beneficial because the energy of the plasma may be lower deep in the recess.


The reactants for steps 210-250 can be the same or similar to those described above. Alternatively, the potential growth reactant may comprise an organometal, e.g., an organoaluminium such as trimethylaluminium (TMA). If the potential growth reactant comprises an organometal, e.g., an organoaluminium such as trimethylaluminium (TMA), the substantial low growth reactant may comprise ozone. Ozone in combination with trimethylaluminium may result in low growth in the top of the recess.


The third reactant may comprise hydrogen peroxide, which in combination with trimethylaluminium, may result in high growth in the bottom of the recess; hydrazine, which in combination with trimethylaluminium, may result in high growth in the bottom of the recess; and/or water, which in combination with trimethylaluminium, may result in high growth in the bottom of the recess.



FIG. 4 illustrates a structure 400 formed in accordance with exemplary methods (e.g., method 100 or method 200) and/or using an apparatus as described herein. Structure 400 includes a substrate 402, a recess 404 formed therein, and deposited material 406. Deposited material 406 can be seamless, such that no visible void in deposited material 406 is formed.


Turning now to FIG. 1A and FIG. 1B, a semiconductor processing apparatus 30 is illustrated. Semiconductor processing apparatus 30 includes one or more reaction chambers 3 for accommodating a substrate comprising a surface having a recess formed therein; a first source 21 for a first reactant in gas communication via a first valve 31 with one of the reaction chambers; a second source 22 for a second reactant in gas communication via a second valve 32 with one of the reaction chambers; a third source 25 for a third reactant in gas communication via a third valve 33 with one of the reaction chambers; an etchant or fourth source 26 in gas communication via a fourth valve 34 with one of the reaction chambers; and a controller 27 operably connected to the first, second, third, and fourth gas valves and configured and programmed to control: introducing the first reactant to the substrate with a first dose on the recess; introducing a second reactant to the substrate with a second dose on the recess, wherein the first and the second dose overlap in an overlap area and leave an area where the first and the second areas do not overlap; introducing a third reactant to the substrate with a third dose, the third reactant reacting with the first or second reactant in the area where the first and the second areas do not overlap, thereby depositing material; and etching the deposited material in the recess. Although not illustrated, semiconductor processing apparatus 30 can include additional sources (e.g., for additives as described herein, for inert gasses, and the like) and additional components.


Optionally, semiconductor processing apparatus 30 is provided with a heater to activate the reactions by elevating the temperature of one or more of the substrate, the first, second and third reactants, the etchant and/or additives. Exemplary single wafer reactors, designed specifically to perform ALD processes, are commercially available from ASM International NV (Almere, The Netherlands) under the tradenames Pulsar®, Emerald®, Dragon® and Eagle®. Exemplary batch ALD reactors, designed specifically to perform ALD processes, are also commercially available from ASM International NV under the tradenames A400™ and A412™.


Optionally, the semiconductor processing apparatus 30 may be provided with a radiofrequency source operably connected with the controller constructed and arranged to produce a plasma of the first, second or third reactant and/or etchant and/or additive. The plasma enhanced atomic layer deposition (PEALD) may be performed in an Eagle® XP8 PEALD reactor available from ASM International NV of Almere, the Netherlands which apparatus comprises a plasma source to activate one or more of the reactants.


The process cycle with a plasma may be performed using semiconductor processing apparatus 30, desirably in conjunction with controls programmed to conduct the sequences described herein, usable in at least some embodiments of the present disclosure. In the apparatus illustrated in FIG. 1A, by providing a pair of electrically conductive flat-plate electrodes 4, 2 in parallel and facing each other in the interior 11 (reaction zone) of reaction chamber 3, applying RF power (e.g., 13.56 MHz or 27 MHz) from a power source 20 to one side, and electrically grounding the other side 12, a plasma is excited between the electrodes.


A temperature regulator is provided in a lower stage 2 (the lower electrode), and a temperature of substrate 1 placed thereon can be kept at a relatively constant temperature. The upper electrode 4 serves as a shower plate as well, and reactant gas (and optionally a noble gas), precursor gasses, and etchant gas are introduced into the reaction chamber 3 through gas lines 41-44, respectively, and through the shower plate 4.


Additionally, in the reaction chamber 3, a circular duct 13 with an exhaust line 7 is provided, through which gas in the interior 11 of the reaction chamber 3 is exhausted. Additionally, a transfer chamber 5—e.g., disposed below the reaction chamber 3, is provided with a seal gas line 24 to introduce seal gas into the interior 11 of the reaction chamber 3 via the interior 16 (transfer zone) of the transfer chamber 5, wherein a separation plate 14 for separating the reaction zone and the transfer zone is provided (a gate valve through which a substrate is transferred into or from the transfer chamber 5 is omitted from this figure). The transfer chamber is also provided with an exhaust line 6. In some embodiments, the deposition of multi-element film and surface treatment are performed in the same reaction space, so that all the steps can continuously be conducted without exposing the substrate to air or other oxygen-containing atmosphere. In some embodiments, a remote plasma unit can be used for exciting a gas—e.g., from one or more of sources 21, 22, 25, and/or 26.


In some embodiments, in the apparatus depicted in FIG. 1A, a system of switching flow of an inactive gas and flow of a precursor or reactant gas is illustrated in FIG. 1B; this system can be used to introduce the precursor or reactant gas in pulses without substantially fluctuating pressure of the reaction chamber. FIG. 1B illustrates a precursor supply system using a flow-pass system (FPS) according to an embodiment of the present invention (black valves indicate that the valves are closed). As shown in (a) in FIG. 1B, when feeding a precursor to a reaction chamber (not shown), first, a carrier gas such as Ar (or He) flows through a gas line with valves b and c, and then enters a bottle (reservoir) 20. The carrier gas flows out from the bottle 20 while carrying a precursor gas in an amount corresponding to a vapor pressure inside the bottle 20 and flows through a gas line with valves f and e, and is then fed to the reaction chamber together with the precursor. In this case, valves a and d are closed. When feeding only the carrier gas (e.g., a noble gas) to the reaction chamber, as shown in (b) in FIG. 1B, the carrier gas flows through the gas line with the valve while bypassing the bottle 20. In this case, valves b, c, d, e, and f are closed.


The precursor may be provided with the aid of a carrier gas. In the case of ALD, which is a self-limiting adsorption reaction process, a number of deposited precursor molecules can be determined by the number of reactive surface sites and is independent of precursor exposure after saturation, and a supply of the precursor is such that the reactive surface sites are saturated thereby per cycle. A plasma for deposition may be generated in situ, for example, in a gas that flows continuously throughout the deposition cycle. In other embodiments, the plasma may be generated remotely and provided to the reaction chamber.


In some embodiments, a dual chamber reactor (two sections or compartments for processing substrates disposed closely to each other) can be used, wherein a reactant gas and a noble gas can be supplied through a shared line whereas a precursor gas is supplied through unshared lines.


A skilled artisan will appreciate that the apparatus includes one or more controller(s), such as controller 27, programmed or otherwise configured to cause the deposition processes described elsewhere herein to be conducted. The controller(s) can be communicated with the various power sources, heating systems, pumps, robotics, and gas flow controllers or valves of the reactor.


It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in the sequence illustrated, in other sequences, or omitted in some cases.


The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems, and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims
  • 1. A method of filling a recess formed within a substrate surface, the method comprising the steps of: providing the substrate in a reaction chamber;introducing a first reactant to the substrate with a first dose on the surface of the recess;introducing a second reactant to the substrate with a second dose on the surface of the recess, wherein one of the first and the second doses is a saturating dose, producing a saturated area, and the other of the first and the second doses is subsaturating dose, producing a subsaturated area, wherein the saturated area and the subsaturated areas overlap in an overlap area;introducing a third reactant to the substrate with a third dose, the third reactant reacting with the first or second reactant in the area where the saturated and the subsaturated areas do not overlap; andetching the deposited material in the recess.
  • 2. The method of claim 1, further comprising a step of purging any unreacted first reactant.
  • 3. The method according to claim 1, wherein the steps of introducing a first reactant, introducing a second reactant, and introducing a third reactant are repeated prior to the step of etching material.
  • 4. The method according to claim 1, wherein, during the step of etching material, an etch rate of the material is higher in the overlap area relative to the area where the first and the second areas do not overlap.
  • 5. The method according to claim 1, wherein the steps of introducing a first reactant, introducing a second reactant, introducing a third reactant, and etching material are repeated.
  • 6. The method according to claim 1, wherein the first reactant comprises nitrogen.
  • 7. The method according to claim 1, wherein the first reactant is selected from the group consisting of He, Ne, Ar, Kr, Xe, N2, NH3 and N2H4.
  • 8. The method according to claim 1, wherein the second reactant comprises silicon.
  • 9. The method according to claim 1, wherein second reactant is selected from the group consisting of silane amines, siloxane amines, and silazane amines.
  • 10. The method according to claim 1, wherein the third reactant comprises oxygen.
  • 11. The method according to claim 1, wherein the third reactant is selected from the group consisting of water, hydrogen peroxide, molecular oxygen and ozone.
  • 12. The method according to claim 1, wherein the third dose is a saturating dose.
  • 13. The method according to claim 1, wherein the first reactant is activated by a plasma.
  • 14. The method according to claim 1, wherein the third reactant is activated by a plasma.
  • 15. The method according to claim 1, wherein the step of etching material is performed using an etchant comprising one or more of CxFy, CHF3, NF3, SF6, C12, BC13, HBr, and HI.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of, and claims priority to, U.S. patent application Ser. No. 17/544,982 filed Dec. 8, 2021, titled METHOD AND APPARATUS FOR FILLING A RECESS FORMED WITH A SUBSTRATE SURFACE; which is a divisional of, and claims priority to, U.S. patent application Ser. No. 16/792,571 filed Feb. 17, 2020 titled METHOD AND APPARATUS FOR FILLING A RECESS FORMED WITHIN A SUBSTRATE SURFACE; which claims the benefit of U.S. Provisional Patent Application Ser. No. 62/808,251, filed on Feb. 20, 2019, titled METHOD AND APPARATUS FOR FILLING A RECESS FORMED WITHIN A SUBSTRATE SURFACE, the disclosures of which are hereby incorporated by reference in their entirety.

US Referenced Citations (5402)
Number Name Date Kind
D30036 Rhind Jan 1899 S
D31889 Gill Nov 1899 S
D56051 Cohn Aug 1920 S
2059480 Obermaier Nov 1936 A
2161626 Loughner et al. Jun 1939 A
2240163 Pick Apr 1941 A
2266416 Duclos Dec 1941 A
2280778 Andersen Apr 1942 A
D142841 D'Algodt Nov 1945 S
2410420 Bennett Nov 1946 A
2441253 Sarver May 1948 A
2480557 Cummins Aug 1949 A
2563931 Harrison Aug 1951 A
2660061 Lewis Nov 1953 A
2745640 Cushman May 1956 A
2847320 Bulloff Aug 1958 A
2990045 Root Jun 1961 A
3038951 Mead Jun 1962 A
3089507 Drake et al. May 1963 A
3094396 Flugge et al. Jun 1963 A
3197682 Klass et al. Jul 1965 A
3232437 Hultgren Feb 1966 A
3263502 Springfield Aug 1966 A
3332286 Strong Jul 1967 A
3410349 Troutman Nov 1968 A
3420622 Donges et al. Jan 1969 A
3588192 Drutchas et al. Jun 1971 A
3634740 Stevko Jan 1972 A
3647387 Benson Mar 1972 A
3647716 Koches Mar 1972 A
3713899 Sebestyen Jan 1973 A
3718429 Williamson Feb 1973 A
3796182 Rosler Mar 1974 A
3814128 Grantham Jun 1974 A
3833492 Bollyky Sep 1974 A
3854443 Baerg Dec 1974 A
3862397 Anderson et al. Jan 1975 A
3867205 Schley Feb 1975 A
3885504 Baermann May 1975 A
3887790 Ferguson Jun 1975 A
3904371 Neti Sep 1975 A
3913058 Nishio et al. Oct 1975 A
3913617 van Laar Oct 1975 A
3916270 Wachtler et al. Oct 1975 A
3947685 Meinel Mar 1976 A
3960559 Suzuki Jun 1976 A
3962004 Sonneborn Jun 1976 A
3983401 Livesay Sep 1976 A
3997638 Manning et al. Dec 1976 A
4048110 Vanderspurt Sep 1977 A
4054071 Patejak Oct 1977 A
4058430 Suntola et al. Nov 1977 A
4079944 Durley et al. Mar 1978 A
4093491 Whelpton et al. Jun 1978 A
4099041 Berkman et al. Jul 1978 A
D249341 Mertz Sep 1978 S
4126027 Smith et al. Nov 1978 A
4134425 Gussefeld et al. Jan 1979 A
4145699 Hu et al. Mar 1979 A
4149237 Freitas Apr 1979 A
4152760 Freitas et al. May 1979 A
4157751 Grundken et al. Jun 1979 A
4164959 Wurzburger Aug 1979 A
4176630 Elmer Dec 1979 A
4179530 Koppl et al. Dec 1979 A
4181330 Kojima Jan 1980 A
4184188 Briglia Jan 1980 A
4194536 Stine et al. Mar 1980 A
4217463 Swearingen Aug 1980 A
4229064 Vetter et al. Oct 1980 A
4234449 Wolson et al. Nov 1980 A
4241000 McCauley et al. Dec 1980 A
4314763 Steigmeier et al. Feb 1982 A
4322592 Martin Mar 1982 A
4324611 Vogel et al. Apr 1982 A
4333735 Hardy Jun 1982 A
4355912 Haak Oct 1982 A
4384918 Abe May 1983 A
4389973 Suntola et al. Jun 1983 A
D269850 Preisler et al. Jul 1983 S
4393013 McMenamin Jul 1983 A
4401507 Engle Aug 1983 A
4412133 Eckes et al. Oct 1983 A
4413022 Suntola et al. Nov 1983 A
4414492 Hanlet Nov 1983 A
4436674 McMenamin Mar 1984 A
4444990 Villar Apr 1984 A
D274122 Stahel et al. Jun 1984 S
4454370 Voznick Jun 1984 A
4455193 Jeuch et al. Jun 1984 A
4465716 Baber et al. Aug 1984 A
4466766 Geren et al. Aug 1984 A
4479831 Sandow Oct 1984 A
4480284 Tojo et al. Oct 1984 A
4481300 Hartnett et al. Nov 1984 A
4484061 Zelinka et al. Nov 1984 A
4488506 Heinecke et al. Dec 1984 A
4495024 Bok Jan 1985 A
4496828 Kusmierz et al. Jan 1985 A
4499354 Hill et al. Feb 1985 A
4502094 Lewin et al. Feb 1985 A
4504439 Elter et al. Mar 1985 A
4512113 Budinger Apr 1985 A
4512841 Cunningham et al. Apr 1985 A
4520116 Gentilman et al. May 1985 A
4520421 Sakitani et al. May 1985 A
4527005 McKelvey et al. Jul 1985 A
4534816 Chen et al. Aug 1985 A
4535628 Hope Aug 1985 A
4537001 Uppstrom Aug 1985 A
4548688 Mathews Oct 1985 A
4551192 Di Milia et al. Nov 1985 A
4554611 Lewin Nov 1985 A
4560590 Bok Dec 1985 A
4570328 Price et al. Feb 1986 A
4575408 Bok Mar 1986 A
4575636 Caprari Mar 1986 A
4578560 Tanaka et al. Mar 1986 A
4579080 Martin et al. Apr 1986 A
4579378 Snyders Apr 1986 A
4579623 Suzuki et al. Apr 1986 A
4581520 Vu et al. Apr 1986 A
4590326 Woldy May 1986 A
4611966 Johnson Sep 1986 A
4620998 Lalvani Nov 1986 A
4622918 Bok Nov 1986 A
4624728 Bithell et al. Nov 1986 A
D288556 Wallgren Mar 1987 S
4653541 Oehlschlaeger et al. Mar 1987 A
4654226 Jackson et al. Mar 1987 A
4655592 Allemand Apr 1987 A
4662987 Bok May 1987 A
4664769 Cuomo et al. May 1987 A
4670126 Messer et al. Jun 1987 A
4681134 Paris Jul 1987 A
4693211 Ogami et al. Sep 1987 A
4700089 Fujii et al. Oct 1987 A
4707815 Yamasaki Nov 1987 A
4717461 Strahl et al. Jan 1988 A
4718637 Contin Jan 1988 A
4720362 Gentilman et al. Jan 1988 A
4720407 Sculke Jan 1988 A
4721533 Phillippi et al. Jan 1988 A
4721534 Phillippi et al. Jan 1988 A
4722298 Rubin et al. Feb 1988 A
4724272 Raniere et al. Feb 1988 A
4725204 Powell Feb 1988 A
4735259 Vincent Apr 1988 A
4738618 Massey et al. Apr 1988 A
4738748 Kisa Apr 1988 A
4747367 Posa May 1988 A
4749416 Greenspan Jun 1988 A
4750520 Heim et al. Jun 1988 A
4753192 Goldsmith et al. Jun 1988 A
4753856 Haluska et al. Jun 1988 A
4756794 Yoder Jul 1988 A
4764076 Layman et al. Aug 1988 A
4770590 Hugues et al. Sep 1988 A
4771015 Kanai Sep 1988 A
4775281 Prentakis Oct 1988 A
4776744 Stonestreet et al. Oct 1988 A
4780169 Stark et al. Oct 1988 A
4781511 Harada et al. Nov 1988 A
4789294 Sato et al. Dec 1988 A
4790258 Drage et al. Dec 1988 A
4802441 Waugh Feb 1989 A
4804086 Grohrock Feb 1989 A
4808387 Datta et al. Feb 1989 A
4812201 Sakai et al. Mar 1989 A
4812217 George et al. Mar 1989 A
4821674 deBoer et al. Apr 1989 A
4827430 Aid et al. May 1989 A
4828224 Crabb et al. May 1989 A
4830515 Cortes May 1989 A
4837113 Luttmer et al. Jun 1989 A
4837185 Yau et al. Jun 1989 A
4854263 Chang et al. Aug 1989 A
4854266 Simson et al. Aug 1989 A
4857137 Tachi et al. Aug 1989 A
4857382 Liu et al. Aug 1989 A
4858557 Pozzetti et al. Aug 1989 A
4863374 Vukovich Sep 1989 A
4867629 Iwasawa et al. Sep 1989 A
4871523 Datta et al. Oct 1989 A
4874273 Tokisue et al. Oct 1989 A
4880982 Hoksaas Nov 1989 A
4882199 Sadoway et al. Nov 1989 A
4886162 Ambrogio Dec 1989 A
4916091 Freeman et al. Apr 1990 A
4917556 Stark et al. Apr 1990 A
4920918 Adams et al. May 1990 A
4925388 Iseki et al. May 1990 A
4931135 Horiuchi et al. Jun 1990 A
4934831 Volbrecht Jun 1990 A
4938815 McNeilly Jul 1990 A
D309702 Hall Aug 1990 S
4949671 Davis et al. Aug 1990 A
4949848 Kos Aug 1990 A
4950624 Inuzima et al. Aug 1990 A
4956538 Moslehi Sep 1990 A
4958061 Wakabayashi et al. Sep 1990 A
D311126 Crowley Oct 1990 S
4962063 Maydan et al. Oct 1990 A
4963506 Liaw et al. Oct 1990 A
4976996 Monkowski et al. Dec 1990 A
4978567 Miller Dec 1990 A
4984904 Nakano et al. Jan 1991 A
4985114 Okudaira Jan 1991 A
4986215 Yamada Jan 1991 A
4987102 Nguyen et al. Jan 1991 A
4987856 Hey Jan 1991 A
4989992 Piai Feb 1991 A
4991614 Hammel Feb 1991 A
5002632 Loewenstein et al. Mar 1991 A
5013691 Lory et al. May 1991 A
5022961 Izumi et al. Jun 1991 A
5027746 Frijlink Jul 1991 A
5028366 Harakal et al. Jul 1991 A
D320148 Andrews Sep 1991 S
5049029 Mitsui et al. Sep 1991 A
5053247 Moore Oct 1991 A
5057436 Ball Oct 1991 A
5060322 Delepine Oct 1991 A
5061083 Grimm et al. Oct 1991 A
5062386 Christensen Nov 1991 A
5064337 Asakawa et al. Nov 1991 A
5065698 Koike Nov 1991 A
5067437 Watanabe et al. Nov 1991 A
5069591 Kinoshita Dec 1991 A
5071258 Usher et al. Dec 1991 A
5074017 Toya et al. Dec 1991 A
5082517 Moslehi Jan 1992 A
5084126 McKee Jan 1992 A
5088444 Ohmine et al. Feb 1992 A
5097890 Nakao Mar 1992 A
5098638 Sawada Mar 1992 A
5098865 Machado Mar 1992 A
5104514 Quartarone Apr 1992 A
5107170 Ishikawa et al. Apr 1992 A
5108192 Mailliet et al. Apr 1992 A
5110407 Ono et al. May 1992 A
5114683 Hirase May 1992 A
5116018 Friemoth et al. May 1992 A
5117121 Watanabe et al. May 1992 A
D327534 Manville Jun 1992 S
5119760 McMillan et al. Jun 1992 A
5124272 Saito et al. Jun 1992 A
5125358 Ueda et al. Jun 1992 A
5125710 Gianelo Jun 1992 A
5130003 Conrad Jul 1992 A
5134965 Tokuda et al. Aug 1992 A
5137286 Whitford Aug 1992 A
5151296 Tokunaga Sep 1992 A
5154301 Kos Oct 1992 A
5158128 Inoue et al. Oct 1992 A
D330900 Wakegijig Nov 1992 S
5167716 Boitnott et al. Dec 1992 A
5167761 Westendorp et al. Dec 1992 A
5174881 Iwasaki et al. Dec 1992 A
5176451 Sasada Jan 1993 A
5178639 Nishi Jan 1993 A
5178682 Tsukamoto et al. Jan 1993 A
5180273 Sakaya et al. Jan 1993 A
5180435 Markunas et al. Jan 1993 A
5181779 Shia et al. Jan 1993 A
5182232 Chhabra et al. Jan 1993 A
5183511 Yamazaki et al. Feb 1993 A
5186120 Ohnishi et al. Feb 1993 A
D333606 Kanemitsu et al. Mar 1993 S
5192717 Kawakami Mar 1993 A
5193912 Saunders Mar 1993 A
5193969 Rush et al. Mar 1993 A
5194401 Adams et al. Mar 1993 A
5199603 Prescott Apr 1993 A
5208961 Lajoie May 1993 A
5213650 Wang et al. May 1993 A
5219226 James Jun 1993 A
5221556 Hawkins et al. Jun 1993 A
5225366 Yoder Jul 1993 A
5226383 Bhat Jul 1993 A
5226713 Matsumura Jul 1993 A
5226967 Chen et al. Jul 1993 A
5228114 Suzuki Jul 1993 A
5231062 Mathers et al. Jul 1993 A
5232508 Arena et al. Aug 1993 A
5234526 Chen et al. Aug 1993 A
5242501 McDiarmid Sep 1993 A
5242539 Kumihashi et al. Sep 1993 A
5243195 Nishi Sep 1993 A
5243202 Mori et al. Sep 1993 A
5246218 Yap et al. Sep 1993 A
5246500 Samata et al. Sep 1993 A
5249960 Monoe Oct 1993 A
5250092 Nakano Oct 1993 A
5252133 Miyazaki et al. Oct 1993 A
5252134 Stauffer Oct 1993 A
5259881 Edwards et al. Nov 1993 A
5261167 Sakata Nov 1993 A
5266526 Aoyama Nov 1993 A
5268989 Moslehi et al. Dec 1993 A
5271967 Kramer et al. Dec 1993 A
5273609 Moslehi Dec 1993 A
5277932 Spencer Jan 1994 A
5278494 Obigane Jan 1994 A
5279886 Kawai et al. Jan 1994 A
5279986 Maloney et al. Jan 1994 A
5280894 Witcraft et al. Jan 1994 A
5281274 Yoder Jan 1994 A
5284519 Gadgil Feb 1994 A
5288684 Yamazaki et al. Feb 1994 A
5294572 Granneman et al. Mar 1994 A
5294778 Carman et al. Mar 1994 A
5295777 Hodos Mar 1994 A
5298089 Bowe et al. Mar 1994 A
5305417 Najm et al. Apr 1994 A
5306666 Izumi Apr 1994 A
5306946 Yamamoto Apr 1994 A
5308650 Krummel et al. May 1994 A
5308788 Fitch et al. May 1994 A
5310410 Begin et al. May 1994 A
5310456 Kadomura May 1994 A
5312245 Brannen et al. May 1994 A
5313061 Drew et al. May 1994 A
5314538 Maeda et al. May 1994 A
5314570 Ikegaya et al. May 1994 A
5315092 Takahashi et al. May 1994 A
5320218 Yamashita et al. Jun 1994 A
5326427 Jerbic Jul 1994 A
5328360 Yokokawa Jul 1994 A
5328810 Lowrey et al. Jul 1994 A
5335309 Fujii et al. Aug 1994 A
5336327 Lee Aug 1994 A
5338362 Imahashi Aug 1994 A
5340261 Oosawa et al. Aug 1994 A
5346961 Shaw et al. Sep 1994 A
5348774 Golecki et al. Sep 1994 A
5350480 Gray Sep 1994 A
5354433 Granneman et al. Oct 1994 A
5354580 Goela et al. Oct 1994 A
5356478 Chen et al. Oct 1994 A
5356672 Schmitt et al. Oct 1994 A
5360269 Ogawa et al. Nov 1994 A
5362328 Gardiner et al. Nov 1994 A
5364667 Rhieu Nov 1994 A
D353452 Groenhoff Dec 1994 S
5374315 Deboer et al. Dec 1994 A
D354898 Nagy Jan 1995 S
5378501 Foster et al. Jan 1995 A
5380367 Bertone Jan 1995 A
5382311 Ishikawa et al. Jan 1995 A
5387265 Kakizaki et al. Feb 1995 A
5388945 Garric et al. Feb 1995 A
5393577 Uesugi et al. Feb 1995 A
5397395 Sano et al. Mar 1995 A
5403630 Matsui et al. Apr 1995 A
5404082 Hernandez et al. Apr 1995 A
5407449 Zinger Apr 1995 A
5407867 Iwasaki et al. Apr 1995 A
5413813 Cruse et al. May 1995 A
5414221 Gardner May 1995 A
5415753 Hurwitt et al. May 1995 A
5418382 Blackwood et al. May 1995 A
5421893 Perlov Jun 1995 A
5422139 Fischer Jun 1995 A
5423942 Robbins et al. Jun 1995 A
5426137 Allen Jun 1995 A
5427824 Inushima et al. Jun 1995 A
5430011 Tanaka et al. Jul 1995 A
5431734 Chapple-Sokol et al. Jul 1995 A
5443646 Yamada et al. Aug 1995 A
5443648 Ohkase Aug 1995 A
5443686 Jones et al. Aug 1995 A
5444217 Moore Aug 1995 A
5447294 Sakata et al. Sep 1995 A
5453124 Moslehi et al. Sep 1995 A
D363464 Fukasawa Oct 1995 S
5456207 Gedridge et al. Oct 1995 A
5456757 Aruga et al. Oct 1995 A
5461214 Peck et al. Oct 1995 A
5462899 Ikeda Oct 1995 A
5463176 Eckert Oct 1995 A
5464313 Ohsawa Nov 1995 A
5474410 Ozawa et al. Dec 1995 A
5474612 Sato et al. Dec 1995 A
5478429 Komino et al. Dec 1995 A
5480488 Bittner et al. Jan 1996 A
5480818 Matsumoto et al. Jan 1996 A
5482559 Imai et al. Jan 1996 A
5484484 Yamaga et al. Jan 1996 A
5494439 Goldstein et al. Feb 1996 A
5494494 Mizuno et al. Feb 1996 A
5496408 Motoda et al. Mar 1996 A
5501740 Besen et al. Mar 1996 A
5503875 Imai et al. Apr 1996 A
5504042 Cho et al. Apr 1996 A
5510277 Cunningham et al. Apr 1996 A
5512102 Yamazaki Apr 1996 A
5514439 Sibley May 1996 A
5518549 Hellwig May 1996 A
5518780 Tamor et al. May 1996 A
5519234 Paz de Araujo May 1996 A
5520743 Takahashi et al. May 1996 A
5523616 Yasuhide Jun 1996 A
5527111 Lysen et al. Jun 1996 A
5527417 Iida et al. Jun 1996 A
5531218 Krebs Jul 1996 A
5531835 Fodor et al. Jul 1996 A
5537311 Stevens Jul 1996 A
5540059 Yokokawa Jul 1996 A
5540821 Tepman Jul 1996 A
5540898 Davidson Jul 1996 A
5554557 Koh Sep 1996 A
5556275 Sakata et al. Sep 1996 A
5558717 Zhao et al. Sep 1996 A
5559046 Oishi et al. Sep 1996 A
5562383 Iwai et al. Oct 1996 A
5562947 White et al. Oct 1996 A
5562952 Nakahigashi et al. Oct 1996 A
5565038 Ashley Oct 1996 A
5569402 Meisser et al. Oct 1996 A
5574247 Nishitani et al. Nov 1996 A
5576629 Turner Nov 1996 A
5577331 Suzuki Nov 1996 A
5583736 Anderson et al. Dec 1996 A
5584936 Pickering et al. Dec 1996 A
5584963 Takahashi Dec 1996 A
5586585 Bonora et al. Dec 1996 A
5589002 Su Dec 1996 A
5589110 Motoda et al. Dec 1996 A
5595606 Fujikawa et al. Jan 1997 A
5601641 Stephens Feb 1997 A
5602060 Kobayashi et al. Feb 1997 A
5604410 Vollkommer et al. Feb 1997 A
5611448 Chen Mar 1997 A
5616264 Nishi et al. Apr 1997 A
5616947 Tamura Apr 1997 A
5621982 Yamashita Apr 1997 A
5632919 MacCracken et al. May 1997 A
5637153 Niino et al. Jun 1997 A
D380527 Velez Jul 1997 S
5645646 Beinglass et al. Jul 1997 A
5650013 Yamazaki Jul 1997 A
5650351 Wu Jul 1997 A
5653807 Crumbaker Aug 1997 A
5656093 Burkhart et al. Aug 1997 A
5661263 Salvaggio Aug 1997 A
5662470 Huussen et al. Sep 1997 A
5663899 Zvonar et al. Sep 1997 A
5665608 Chapple-Sokol et al. Sep 1997 A
5667592 Boitnott et al. Sep 1997 A
5670786 Meyer et al. Sep 1997 A
5679215 Barnes et al. Oct 1997 A
5681779 Pasch et al. Oct 1997 A
D386076 Moore Nov 1997 S
5683517 Shan Nov 1997 A
5683561 Hollars et al. Nov 1997 A
5685912 Nishizaka Nov 1997 A
5685914 Hills et al. Nov 1997 A
5690742 Ogata et al. Nov 1997 A
5695567 Kordina Dec 1997 A
5697706 Ciaravino et al. Dec 1997 A
5698036 Ishii et al. Dec 1997 A
5700729 Lee et al. Dec 1997 A
5708825 Sotomayor Jan 1998 A
5709745 Larkin et al. Jan 1998 A
5711811 Suntola et al. Jan 1998 A
5716133 Hosokawa et al. Feb 1998 A
5718574 Shimazu Feb 1998 A
5720927 Cripe et al. Feb 1998 A
D392855 Pillow Mar 1998 S
5724748 Brooks Mar 1998 A
5728223 Murakarni et al. Mar 1998 A
5728425 Ebe et al. Mar 1998 A
5730801 Tepman et al. Mar 1998 A
5730802 Ishizumi et al. Mar 1998 A
5732597 Devenyi Mar 1998 A
5732744 Barr et al. Mar 1998 A
5732957 Yu Mar 1998 A
5736314 Hayes et al. Apr 1998 A
5753835 Gustin May 1998 A
5754390 Sandhu et al. May 1998 A
5759281 Gurary et al. Jun 1998 A
5761328 Solberg et al. Jun 1998 A
5766365 Umutoy et al. Jun 1998 A
5768125 Zinger et al. Jun 1998 A
5769952 Komino Jun 1998 A
5775889 Kobayashi et al. Jul 1998 A
5777838 Tamagawa et al. Jul 1998 A
5779203 Edlinger Jul 1998 A
5781693 Ballance et al. Jul 1998 A
5782979 Kaneno Jul 1998 A
5790750 Anderson Aug 1998 A
5791782 Wooten et al. Aug 1998 A
5792272 Van Os et al. Aug 1998 A
5796074 Edelstein et al. Aug 1998 A
5801104 Schuegraf et al. Sep 1998 A
5801945 Comer Sep 1998 A
5804505 Yamada et al. Sep 1998 A
5806980 Berrian Sep 1998 A
5813851 Nakao Sep 1998 A
5818716 Chin et al. Oct 1998 A
5819092 Ferguson et al. Oct 1998 A
5819434 Herchen et al. Oct 1998 A
5820366 Lee Oct 1998 A
5820685 Kurihra et al. Oct 1998 A
5820686 Moore Oct 1998 A
5826129 Hasebe et al. Oct 1998 A
5827420 Shirazi et al. Oct 1998 A
5827435 Samukawa Oct 1998 A
5827757 Robinson et al. Oct 1998 A
5836483 Disel Nov 1998 A
5837058 Chen et al. Nov 1998 A
5837320 Hampden-Smith et al. Nov 1998 A
5844683 Pavloski et al. Dec 1998 A
5846332 Zhao et al. Dec 1998 A
5851293 Lane et al. Dec 1998 A
5851294 Young et al. Dec 1998 A
5851299 Cheng et al. Dec 1998 A
5852445 Yoshikawa et al. Dec 1998 A
5852879 Schumaier Dec 1998 A
5853484 Jeong Dec 1998 A
D403949 Nakamura Jan 1999 S
D404370 Kimura Jan 1999 S
D404372 Ishii Jan 1999 S
5855680 Soininen et al. Jan 1999 A
5855681 Maydan et al. Jan 1999 A
5855687 DuBois et al. Jan 1999 A
5855726 Soraoka et al. Jan 1999 A
5857777 Schuh Jan 1999 A
5861233 Sekine et al. Jan 1999 A
5862302 Okase Jan 1999 A
5863123 Lee et al. Jan 1999 A
5865205 Wilmer Feb 1999 A
5866795 Wang et al. Feb 1999 A
5871586 Crawley et al. Feb 1999 A
5872065 Sivaramakrishnan Feb 1999 A
5873942 Park Feb 1999 A
5877095 Tamura et al. Mar 1999 A
5879128 Tietz et al. Mar 1999 A
5879459 Gadgil et al. Mar 1999 A
5880980 Rothacher et al. Mar 1999 A
5882165 Maydan et al. Mar 1999 A
5884640 Fishkin et al. Mar 1999 A
5888304 Umotoy et al. Mar 1999 A
5891251 MacLeish et al. Apr 1999 A
5893741 Huang Apr 1999 A
5897348 Wu Apr 1999 A
5897378 Eriguchi Apr 1999 A
5897379 Ulrich et al. Apr 1999 A
5897710 Sato et al. Apr 1999 A
D409894 McClurg May 1999 S
5904170 Harvey et al. May 1999 A
D411516 Imafuku et al. Jun 1999 S
5908672 Ryu Jun 1999 A
5915200 Tokumasu et al. Jun 1999 A
5915562 Nyseth et al. Jun 1999 A
5916365 Sherman Jun 1999 A
D412270 Fredrickson Jul 1999 S
5920798 Higuchi et al. Jul 1999 A
5928426 Aitchison Jul 1999 A
D412512 Boisvert Aug 1999 S
5937142 Moslehi et al. Aug 1999 A
5937323 Orczyk et al. Aug 1999 A
5939886 Turner et al. Aug 1999 A
5947718 Weaver Sep 1999 A
5950327 Peterson et al. Sep 1999 A
5950925 Fukunaga et al. Sep 1999 A
5954375 Trickle et al. Sep 1999 A
5961775 Fujimura Oct 1999 A
5968275 Lee et al. Oct 1999 A
5970621 Bazydola Oct 1999 A
5972196 Murphy et al. Oct 1999 A
5975492 Brenes Nov 1999 A
5976973 Ohira et al. Nov 1999 A
5979506 Aarseth Nov 1999 A
5982931 Ishimaru Nov 1999 A
5984391 Vanderpot et al. Nov 1999 A
5987480 Donohue et al. Nov 1999 A
5989342 Ikeda et al. Nov 1999 A
5992453 Zimmer Nov 1999 A
5997588 Goodwin Dec 1999 A
5997768 Scully Dec 1999 A
5998870 Lee et al. Dec 1999 A
6000732 Scheler et al. Dec 1999 A
6001183 Gurary et al. Dec 1999 A
6001267 Van Os et al. Dec 1999 A
6004204 Luxton et al. Dec 1999 A
D419652 Hall et al. Jan 2000 S
6013553 Wallace Jan 2000 A
6013920 Gordon et al. Jan 2000 A
6014677 Hayashi et al. Jan 2000 A
6015459 Jamison et al. Jan 2000 A
6015465 Kholodenko et al. Jan 2000 A
6017779 Miyasaka Jan 2000 A
6017818 Lu Jan 2000 A
6022180 Motoyama et al. Feb 2000 A
6022802 Jang Feb 2000 A
6024799 Chen et al. Feb 2000 A
6025117 Nakano et al. Feb 2000 A
6027163 Longenecker et al. Feb 2000 A
6029602 Bhatnagar Feb 2000 A
6030900 Grassl et al. Feb 2000 A
6033215 Ohsawa Mar 2000 A
6035101 Sajoto et al. Mar 2000 A
6035804 Arami et al. Mar 2000 A
6039809 Toyama et al. Mar 2000 A
6042652 Hyun Mar 2000 A
6044860 Neu Apr 2000 A
6045260 Schwartz et al. Apr 2000 A
6048154 Wytman Apr 2000 A
6050506 Guo et al. Apr 2000 A
6053982 Halpin et al. Apr 2000 A
6053983 Saeki et al. Apr 2000 A
6054013 Collins et al. Apr 2000 A
6054678 Miyazaki Apr 2000 A
6060691 Minami et al. May 2000 A
6060721 Huang May 2000 A
6063196 Li et al. May 2000 A
6066204 Haven May 2000 A
6068441 Raaijmakers et al. May 2000 A
6071572 Mosely et al. Jun 2000 A
6072163 Armstrong et al. Jun 2000 A
6073973 Boscaljon et al. Jun 2000 A
6074154 Ueda et al. Jun 2000 A
6074443 Venkatesh Jun 2000 A
6074514 Bjorkman et al. Jun 2000 A
6077027 Kawamura et al. Jun 2000 A
6079356 Umotoy et al. Jun 2000 A
6079927 Muka Jun 2000 A
6083321 Lei et al. Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6090212 Mahawili Jul 2000 A
6090442 Klaus et al. Jul 2000 A
6090659 Laibowitz et al. Jul 2000 A
6091062 Pfahnl et al. Jul 2000 A
6093252 Wengert et al. Jul 2000 A
6093253 Lofgren Jul 2000 A
6093611 Gardner et al. Jul 2000 A
6095083 Rice et al. Aug 2000 A
6096133 Yuuki et al. Aug 2000 A
6096267 Kishkovich Aug 2000 A
6099302 Hong et al. Aug 2000 A
6099649 Schmitt et al. Aug 2000 A
6099651 Sajoto et al. Aug 2000 A
6102565 Kita et al. Aug 2000 A
6104002 Hirose et al. Aug 2000 A
6104011 Juliano Aug 2000 A
6104401 Parsons Aug 2000 A
6106625 Koai et al. Aug 2000 A
6106678 Shufflebotham Aug 2000 A
6110531 Paz de Araujo et al. Aug 2000 A
6113703 Anderson et al. Sep 2000 A
6119710 Brown Sep 2000 A
6121061 Van Bilsen et al. Sep 2000 A
6121158 Benchikha et al. Sep 2000 A
6122036 Yamasaki et al. Sep 2000 A
6124600 Moroishi et al. Sep 2000 A
6125789 Gupta et al. Oct 2000 A
6126744 Hawkins et al. Oct 2000 A
6126848 Li et al. Oct 2000 A
6127249 Hu Oct 2000 A
6129044 Zhao et al. Oct 2000 A
6129546 Sada Oct 2000 A
6132207 Stoutjesdijk Oct 2000 A
6134807 Komino Oct 2000 A
6135460 Wise et al. Oct 2000 A
6137240 Bogdan Oct 2000 A
6139239 Snijders Oct 2000 A
6139983 Ohashi et al. Oct 2000 A
6140252 Cho et al. Oct 2000 A
6143079 Halpin Nov 2000 A
6143082 McInerney et al. Nov 2000 A
6143129 Savas et al. Nov 2000 A
6146463 Yudovsky et al. Nov 2000 A
6148761 Majewski et al. Nov 2000 A
6151446 Hunter et al. Nov 2000 A
6152070 Fairbairn et al. Nov 2000 A
6152669 Morita et al. Nov 2000 A
6156151 Komino et al. Dec 2000 A
6158941 Muka et al. Dec 2000 A
6159301 Sato et al. Dec 2000 A
6160244 Ohashi Dec 2000 A
6161500 Kopacz et al. Dec 2000 A
6162323 Koshimizu Dec 2000 A
6164894 Cheng Dec 2000 A
6174809 Kang et al. Jan 2001 B1
6176929 Fukunaga et al. Jan 2001 B1
6177688 Linthicum et al. Jan 2001 B1
6178918 Van Os et al. Jan 2001 B1
6179955 Shin et al. Jan 2001 B1
6180979 Hofman et al. Jan 2001 B1
6182603 Shang et al. Feb 2001 B1
6183564 Reynolds et al. Feb 2001 B1
6183565 Granneman et al. Feb 2001 B1
6187672 Zhao et al. Feb 2001 B1
6187691 Fukuda Feb 2001 B1
6189482 Zhao et al. Feb 2001 B1
6190037 Das et al. Feb 2001 B1
6190113 Bui et al. Feb 2001 B1
6190634 Lieber et al. Feb 2001 B1
6191399 Van Bilsen Feb 2001 B1
6194037 Terasaki et al. Feb 2001 B1
6200897 Wang et al. Mar 2001 B1
6201999 Jevtic Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6203618 Hashizume et al. Mar 2001 B1
6207932 Yoo Mar 2001 B1
6207936 de Waard Mar 2001 B1
6209221 Beulens Apr 2001 B1
6210485 Zhao et al. Apr 2001 B1
6212789 Kato Apr 2001 B1
6213708 Allen Apr 2001 B1
6214122 Thompson Apr 2001 B1
6214717 Yan et al. Apr 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6217662 Kong et al. Apr 2001 B1
6218288 Li et al. Apr 2001 B1
6224679 Sasaki et al. May 2001 B1
6225020 Jung et al. May 2001 B1
6225602 Buiijze et al. May 2001 B1
6225745 Srivastava May 2001 B1
6230650 Yamazaki May 2001 B1
6231290 Kikuchi et al. May 2001 B1
6235121 Honma et al. May 2001 B1
6235858 Swarup et al. May 2001 B1
6238734 Senzaki et al. May 2001 B1
6239402 Araki et al. May 2001 B1
6239715 Belton May 2001 B1
6240875 Wijck et al. Jun 2001 B1
6241822 Ide Jun 2001 B1
6242359 Misra Jun 2001 B1
6243654 Johnson et al. Jun 2001 B1
6245647 Akiyama et al. Jun 2001 B1
6245665 Yokoyama Jun 2001 B1
6247245 Ishii Jun 2001 B1
6250250 Maishev et al. Jun 2001 B1
6255221 Hudson et al. Jul 2001 B1
6257758 Culbertson Jul 2001 B1
6261648 Akiba et al. Jul 2001 B1
6264467 Lue et al. Jul 2001 B1
6265113 Rosasco et al. Jul 2001 B1
6265311 Hautala et al. Jul 2001 B1
6270572 Kim et al. Aug 2001 B1
6271148 Kao Aug 2001 B1
6271320 Keller et al. Aug 2001 B1
6274496 Leusink et al. Aug 2001 B1
6274878 Li et al. Aug 2001 B1
6281098 Wang Aug 2001 B1
6281141 Das et al. Aug 2001 B1
6283692 Perlov et al. Sep 2001 B1
6284050 Shi et al. Sep 2001 B1
6284149 Li et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6287988 Nagamine et al. Sep 2001 B1
6293700 Lund et al. Sep 2001 B1
D449873 Bronson Oct 2001 S
6296710 Allen et al. Oct 2001 B1
6296711 Loan et al. Oct 2001 B1
6296909 Spitsberg Oct 2001 B1
6297539 Ma et al. Oct 2001 B1
6299133 Waragai et al. Oct 2001 B2
6302964 Umotoy et al. Oct 2001 B1
6303523 Cheung Oct 2001 B2
6305898 Yamagishi et al. Oct 2001 B1
6311016 Yanagawa et al. Oct 2001 B1
6312525 Bright et al. Nov 2001 B1
6315512 Tabrizi et al. Nov 2001 B1
6316162 Jung et al. Nov 2001 B1
6316371 Oosterlaken et al. Nov 2001 B1
6320320 Bailey et al. Nov 2001 B1
6321680 Cook et al. Nov 2001 B2
6321780 Iwabuchi Nov 2001 B1
D451893 Robson Dec 2001 S
D452220 Robson Dec 2001 S
6325858 Wengert Dec 2001 B1
6326322 Kim et al. Dec 2001 B1
6326597 Lubomirsky et al. Dec 2001 B1
6328561 Hasper et al. Dec 2001 B1
6328864 Ishizawa et al. Dec 2001 B1
6329297 Balish Dec 2001 B1
6333275 Mayer et al. Dec 2001 B1
6335049 Basceri Jan 2002 B1
6335240 Kim et al. Jan 2002 B1
6335293 Luo et al. Jan 2002 B1
6342427 Choi et al. Jan 2002 B1
6343239 Toda et al. Jan 2002 B1
6344084 Koinuma et al. Feb 2002 B1
6344232 Jones et al. Feb 2002 B1
6346419 Ryerson et al. Feb 2002 B1
6347636 Xia Feb 2002 B1
6350391 Livshits et al. Feb 2002 B1
6352049 Yin et al. Mar 2002 B1
6352945 Matsuki Mar 2002 B1
6357984 Zinger et al. Mar 2002 B1
6363294 Coronel et al. Mar 2002 B1
D455024 Mimick et al. Apr 2002 S
6367410 Leahey et al. Apr 2002 B1
6368773 Jung et al. Apr 2002 B1
6368987 Kopacz et al. Apr 2002 B1
6370796 Zucker Apr 2002 B1
6372583 Tyagi Apr 2002 B1
6374831 Chandran Apr 2002 B1
6375312 Ikeda et al. Apr 2002 B1
6375749 Boydston et al. Apr 2002 B1
6375750 Van Os et al. Apr 2002 B1
6379466 Sahin et al. Apr 2002 B1
D457609 Piano May 2002 S
6383300 Saito et al. May 2002 B1
6383566 Zagdoun May 2002 B1
6383955 Matsuki May 2002 B1
6387207 Janakiraman May 2002 B1
6387823 Sonderman et al. May 2002 B1
6387827 Mertens et al. May 2002 B1
6390753 de Ridder et al. May 2002 B1
6390754 Yamaga et al. May 2002 B2
6391803 Kim et al. May 2002 B1
6395650 Callegari et al. May 2002 B1
6398184 Sowada et al. Jun 2002 B1
6399022 Schuler et al. Jun 2002 B1
6402806 Schmitt et al. Jun 2002 B1
6410433 Hautala et al. Jun 2002 B1
6410459 Blalock et al. Jun 2002 B2
6410463 Matsuki Jun 2002 B1
6413321 Kim et al. Jul 2002 B1
6413583 Moghadam et al. Jul 2002 B1
6420279 Ono et al. Jul 2002 B1
6423949 Chen et al. Jul 2002 B1
D461233 Whalen Aug 2002 S
D461882 Piano Aug 2002 S
6428859 Chiang et al. Aug 2002 B1
6429465 Yagi et al. Aug 2002 B1
6432206 Tolt Aug 2002 B1
6432255 Sun et al. Aug 2002 B1
6432479 Chang et al. Aug 2002 B2
6432849 Endo et al. Aug 2002 B1
6433298 Ishii Aug 2002 B1
6435798 Satoh Aug 2002 B1
6435865 Tseng et al. Aug 2002 B1
6436819 Zhang Aug 2002 B1
6437444 Andideh Aug 2002 B2
6438502 Awtrey Aug 2002 B1
6439822 Kimura et al. Aug 2002 B1
6440261 Tepman et al. Aug 2002 B1
6441350 Stoddard et al. Aug 2002 B1
6445574 Saw et al. Sep 2002 B1
6446573 Hirayama et al. Sep 2002 B2
6447232 Davis et al. Sep 2002 B1
6447651 Ishikawa et al. Sep 2002 B1
6447937 Murakawa et al. Sep 2002 B1
6448192 Kaushik Sep 2002 B1
6450117 Murugesh et al. Sep 2002 B1
6450757 Saeki Sep 2002 B1
6451713 Tay et al. Sep 2002 B1
6452017 Uhlenbrock et al. Sep 2002 B1
6454860 Metzner et al. Sep 2002 B2
6454909 Matsuse et al. Sep 2002 B1
6455098 Tran et al. Sep 2002 B2
6455225 Kong et al. Sep 2002 B1
6455445 Matsuki Sep 2002 B2
6460482 Kuibira et al. Oct 2002 B1
6461435 Littau et al. Oct 2002 B1
6461436 Campbell et al. Oct 2002 B1
6461439 Granneman et al. Oct 2002 B1
6462310 Ratliff et al. Oct 2002 B1
6464825 Shinozaki Oct 2002 B1
6468924 Lee Oct 2002 B2
6471779 Nishio et al. Oct 2002 B1
6472266 Yu et al. Oct 2002 B1
6474987 Huang et al. Nov 2002 B1
6475276 Elers et al. Nov 2002 B1
6475902 Hausmann et al. Nov 2002 B1
6475930 Junker et al. Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6481945 Hasper et al. Nov 2002 B1
6482331 Lu et al. Nov 2002 B2
6482663 Buckland Nov 2002 B1
6483989 Okada et al. Nov 2002 B1
6488774 Horie et al. Dec 2002 B1
6490493 Dharnipragada Dec 2002 B1
6492625 Boguslavskiy et al. Dec 2002 B1
6494065 Babbitt Dec 2002 B2
6494998 Brcka Dec 2002 B1
6496819 Bello et al. Dec 2002 B1
6497734 Barber et al. Dec 2002 B1
6497767 Okase et al. Dec 2002 B1
6498091 Chen et al. Dec 2002 B1
6499533 Yamada Dec 2002 B2
6500487 Holst et al. Dec 2002 B1
6502530 Turlot et al. Jan 2003 B1
6503079 Kogano et al. Jan 2003 B2
6503330 Sneh et al. Jan 2003 B1
6503365 Kim et al. Jan 2003 B1
6503562 Saito et al. Jan 2003 B1
6503826 Oda Jan 2003 B1
6506009 Nulman et al. Jan 2003 B1
6506253 Sakuma Jan 2003 B2
6507410 Robertson et al. Jan 2003 B1
6511539 Raaijmakers Jan 2003 B1
6514313 Spiegelman Feb 2003 B1
6514666 Choi et al. Feb 2003 B1
6521295 Remington Feb 2003 B1
6521547 Chang et al. Feb 2003 B1
6527884 Takakuwa et al. Mar 2003 B1
6528171 Endler et al. Mar 2003 B1
6528430 Kwan Mar 2003 B2
6528752 Ishii et al. Mar 2003 B1
6528767 Bagley et al. Mar 2003 B2
6530994 Mahawili Mar 2003 B1
6531193 Fonash et al. Mar 2003 B2
6531412 Conti et al. Mar 2003 B2
6534133 Kaloyeros et al. Mar 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6536950 Green Mar 2003 B1
6539891 Kang et al. Apr 2003 B1
6540469 Matsunaga et al. Apr 2003 B2
6540838 Sneh et al. Apr 2003 B2
6544906 Rotondaro et al. Apr 2003 B2
6552209 Lei et al. Apr 2003 B1
6558517 Basceri May 2003 B2
6558755 Berry et al. May 2003 B2
6559026 Rossman et al. May 2003 B1
6562094 Denker et al. May 2003 B2
6565763 Asakawa et al. May 2003 B1
6566278 Harvey et al. May 2003 B1
6569239 Arai et al. May 2003 B2
6569971 Roh et al. May 2003 B2
6573030 Fairbairn et al. Jun 2003 B1
6574644 Hsu et al. Jun 2003 B2
6576062 Matsuse Jun 2003 B2
6576064 Griffiths et al. Jun 2003 B2
6576300 Berry et al. Jun 2003 B1
6576564 Agarwal Jun 2003 B2
6578589 Mayusumi Jun 2003 B1
6579833 McNallan et al. Jun 2003 B1
6580050 Miller et al. Jun 2003 B1
6582174 Hayashi Jun 2003 B1
6583048 Vincent et al. Jun 2003 B2
6583572 Veltrop et al. Jun 2003 B2
6587108 Guerlain et al. Jul 2003 B1
6589352 Yudovsky et al. Jul 2003 B1
6589707 Lee et al. Jul 2003 B2
6589868 Rossman Jul 2003 B2
6590251 Kang et al. Jul 2003 B2
6594550 Okrah Jul 2003 B1
6596398 Russo et al. Jul 2003 B1
6596653 Tan Jul 2003 B2
6598559 Vellore et al. Jul 2003 B1
6602806 Xia et al. Aug 2003 B1
6607602 Granneman et al. Aug 2003 B1
6607868 Choi Aug 2003 B2
6607948 Sugiyama et al. Aug 2003 B1
6608745 Tsuruta et al. Aug 2003 B2
6610375 Akiba et al. Aug 2003 B2
6613685 Granneman et al. Sep 2003 B1
6616986 Sherman Sep 2003 B2
6617253 Chu et al. Sep 2003 B1
6620251 Kitano Sep 2003 B2
6623799 Lee et al. Sep 2003 B1
6624064 Sahin Sep 2003 B1
6627268 Fair et al. Sep 2003 B1
6627503 Ma et al. Sep 2003 B2
6630030 Suntola et al. Oct 2003 B1
6632478 Gaillard et al. Oct 2003 B2
6633364 Hayashi Oct 2003 B2
6635115 Fairbairn et al. Oct 2003 B1
6635117 Kinnard et al. Oct 2003 B1
6635578 Xu et al. Oct 2003 B1
6638839 Deng et al. Oct 2003 B2
6640145 Hoffberg et al. Oct 2003 B2
6645304 Yamaguchi Nov 2003 B2
6648974 Ogliari et al. Nov 2003 B1
6649921 Cekic et al. Nov 2003 B1
6652924 Sherman Nov 2003 B2
6656281 Ueda Dec 2003 B1
6656282 Kim et al. Dec 2003 B2
6658933 Allegre et al. Dec 2003 B2
6659111 Mouri et al. Dec 2003 B1
6660662 Ishikawa et al. Dec 2003 B2
6662817 Yamagishi Dec 2003 B2
6663332 Sluijk et al. Dec 2003 B1
6673196 Oyabu Jan 2004 B1
6676290 Lu Jan 2004 B1
6676759 Takagi Jan 2004 B1
6679194 Ham et al. Jan 2004 B2
6682971 Tsuneda et al. Jan 2004 B2
6682973 Paton et al. Jan 2004 B1
6683274 Kwon et al. Jan 2004 B1
D486891 Cronce Feb 2004 S
6684659 Tanaka et al. Feb 2004 B1
6684719 Gehner et al. Feb 2004 B2
6686281 Yamazaki et al. Feb 2004 B2
6688784 Templeton Feb 2004 B1
6689220 Nguyen Feb 2004 B1
6692575 Omstead et al. Feb 2004 B1
6692576 Halpin et al. Feb 2004 B2
6692903 Chen et al. Feb 2004 B2
6696367 Aggarwal Feb 2004 B1
6699003 Saeki Mar 2004 B2
6699399 Qian et al. Mar 2004 B1
6700089 Hirooka Mar 2004 B1
6709989 Ramdani et al. Mar 2004 B2
6710364 Guldi et al. Mar 2004 B2
6710857 Kondo Mar 2004 B2
6712949 Gopal Mar 2004 B2
6713824 Mikata Mar 2004 B1
6716477 Komiyama et al. Apr 2004 B1
6716571 Gabriel Apr 2004 B2
6719499 Kuznetsov et al. Apr 2004 B1
6720260 Fair et al. Apr 2004 B1
6720262 Koh et al. Apr 2004 B2
6720531 Jacobson et al. Apr 2004 B1
6722837 Inui Apr 2004 B2
6723642 Lim et al. Apr 2004 B1
6730614 Lim et al. May 2004 B1
6732006 Haanstra et al. May 2004 B2
6734090 Agarwala et al. May 2004 B2
6734631 Juestel et al. May 2004 B2
6737716 Matsuo et al. May 2004 B1
6740853 Johnson et al. May 2004 B1
6743475 Skarp et al. Jun 2004 B2
6743738 Todd et al. Jun 2004 B2
6745095 Ben-Dov Jun 2004 B1
6746240 de Ridder et al. Jun 2004 B2
6746308 Bode et al. Jun 2004 B1
6749671 Holst et al. Jun 2004 B2
6753507 Fure et al. Jun 2004 B2
6755221 Jeong et al. Jun 2004 B2
6756085 Waldfried Jun 2004 B2
6756293 Li et al. Jun 2004 B2
6756318 Nguyen et al. Jun 2004 B2
6759098 Han Jul 2004 B2
6760981 Leap Jul 2004 B2
6766545 Hodges Jul 2004 B2
6767447 Uno et al. Jul 2004 B2
D494552 Tezuka et al. Aug 2004 S
6776849 Aggarwal et al. Aug 2004 B2
6780704 Raaijmakers et al. Aug 2004 B1
6783875 Yamada et al. Aug 2004 B2
6784033 Yamazaki Aug 2004 B1
6784108 Donohoe et al. Aug 2004 B1
D496008 Takahashi et al. Sep 2004 S
6786997 Yamazaki Sep 2004 B1
D497536 Fujiwara Oct 2004 S
D497977 Engelbrektsson Nov 2004 S
6811960 Lee et al. Nov 2004 B2
6812157 Gadgil Nov 2004 B1
6815350 Kim et al. Nov 2004 B2
6815352 Tamura et al. Nov 2004 B1
6818566 Leeson et al. Nov 2004 B2
6818864 Ptak Nov 2004 B2
6820570 Kilpela et al. Nov 2004 B2
6821889 Elers et al. Nov 2004 B2
6821910 Adomaitis et al. Nov 2004 B2
6824665 Shelnut et al. Nov 2004 B2
6825106 Gao et al. Nov 2004 B1
6825134 Law et al. Nov 2004 B2
D499620 Horner-Richardson et al. Dec 2004 S
6827789 Lee et al. Dec 2004 B2
6828235 Takano Dec 2004 B2
6831004 Byun Dec 2004 B2
6833024 Holst et al. Dec 2004 B2
6835039 Van Den Berg Dec 2004 B2
6838122 Basceri et al. Jan 2005 B2
6841201 Shanov et al. Jan 2005 B2
6843202 Kusuda Jan 2005 B2
6843858 Rossman Jan 2005 B2
6846146 Inui Jan 2005 B2
6846515 Vrtis Jan 2005 B2
6846742 Rossman Jan 2005 B2
6847014 Benjamin et al. Jan 2005 B1
6849241 Dauelsberg et al. Feb 2005 B2
6849857 Ichiki et al. Feb 2005 B2
6854580 Braford Feb 2005 B2
6858524 Haukka et al. Feb 2005 B2
6858547 Metzner Feb 2005 B2
6861642 Ichiki et al. Mar 2005 B2
6863019 Shamouilian Mar 2005 B2
6863281 Endou et al. Mar 2005 B2
6864041 Brown Mar 2005 B2
6867086 Chen et al. Mar 2005 B1
6867153 Tokunaga Mar 2005 B2
6867859 Powell Mar 2005 B1
6872258 Park et al. Mar 2005 B2
6872259 Strang Mar 2005 B2
D504142 Horner-Richardson et al. Apr 2005 S
6874247 Hsu Apr 2005 B1
6874480 Ismailov Apr 2005 B1
6875477 Trickett et al. Apr 2005 B2
6875677 Conley, Jr. et al. Apr 2005 B1
6876017 Goodner Apr 2005 B2
6876191 de Ridder Apr 2005 B2
6878206 Tzu et al. Apr 2005 B2
6878402 Chiang et al. Apr 2005 B2
6883733 Lind Apr 2005 B1
6884066 Nguyen et al. Apr 2005 B2
6884295 Ishii Apr 2005 B2
6884319 Kim Apr 2005 B2
6884475 Basceri Apr 2005 B2
D505590 Greiner May 2005 S
6889211 Yoshiura et al. May 2005 B1
6889864 Lindfors et al. May 2005 B2
6890596 Sarigiannis et al. May 2005 B2
6895158 Alyward et al. May 2005 B2
6899507 Yamagishi et al. May 2005 B2
6902395 Oosterlaken et al. Jun 2005 B2
6902647 Hasper Jun 2005 B2
6909839 Wang et al. Jun 2005 B2
6911092 Sneh Jun 2005 B2
6913152 Zuk Jul 2005 B2
6913796 Albano et al. Jul 2005 B2
6916398 Chen et al. Jul 2005 B2
6916559 Murakawa et al. Jul 2005 B2
6917755 Nguyen et al. Jul 2005 B2
6924078 Lee et al. Aug 2005 B2
6928890 Gehner et al. Aug 2005 B2
6929699 Whitesell Aug 2005 B2
6929700 Tan et al. Aug 2005 B2
6930041 Agarwal Aug 2005 B2
6930059 Conley, Jr. et al. Aug 2005 B2
6935269 Lee et al. Aug 2005 B2
6939579 Bondestam et al. Sep 2005 B2
6939817 Sandhu et al. Sep 2005 B2
6942753 Choi et al. Sep 2005 B2
6949204 Lenz et al. Sep 2005 B1
6951587 Narushima Oct 2005 B1
6952656 Cordova et al. Oct 2005 B1
6953609 Carollo Oct 2005 B2
6955741 Yamagishi Oct 2005 B2
6955836 Kumagai et al. Oct 2005 B2
6955928 Brennan Oct 2005 B1
6963052 Kuibira et al. Nov 2005 B2
6972055 Sferlazzo Dec 2005 B2
6972478 Waite et al. Dec 2005 B1
6974781 Timmermans et al. Dec 2005 B2
6975921 Verhaar Dec 2005 B2
6976822 Woodruff Dec 2005 B2
RE38937 Nakamura Jan 2006 E
6981832 Zinger et al. Jan 2006 B2
6982046 Srivastava et al. Jan 2006 B2
6982103 Basceri et al. Jan 2006 B2
6984591 Buchanan et al. Jan 2006 B1
6984595 Yamazaki Jan 2006 B1
6985788 Haanstra et al. Jan 2006 B2
6986914 Elers et al. Jan 2006 B2
6987155 Roh et al. Jan 2006 B2
6990430 Hosek Jan 2006 B2
7005227 Yueh et al. Feb 2006 B2
7005391 Min Feb 2006 B2
7008879 Lee et al. Mar 2006 B2
7010580 Fu et al. Mar 2006 B1
7017514 Shepherd et al. Mar 2006 B1
7018941 Cui et al. Mar 2006 B2
7021330 Maula et al. Apr 2006 B2
7021881 Yamagishi Apr 2006 B2
7036453 Ishikawa et al. May 2006 B2
7041609 Vaartstra May 2006 B2
7045430 Ahn et al. May 2006 B2
7049226 Chung et al. May 2006 B2
7049247 Gates et al. May 2006 B2
7052584 Basceri May 2006 B2
7053009 Conley, Jr. et al. May 2006 B2
7055263 Wu et al. Jun 2006 B2
7055875 Bonora Jun 2006 B2
7062161 Kusuda et al. Jun 2006 B2
D524600 Austin et al. Jul 2006 S
D525127 Cogley et al. Jul 2006 S
7070178 Van Der Toorn et al. Jul 2006 B2
7071051 Jeon et al. Jul 2006 B1
7073834 Matsumoto et al. Jul 2006 B2
7074690 Gauri et al. Jul 2006 B1
7077614 Hasper et al. Jul 2006 B1
7080545 Dimeo et al. Jul 2006 B2
7084060 Furukawa Aug 2006 B1
7084079 Conti et al. Aug 2006 B2
7085623 Siegers Aug 2006 B2
7086347 Howald et al. Aug 2006 B2
7088003 Gates et al. Aug 2006 B2
7090394 Hashikura et al. Aug 2006 B2
7092287 Beulens et al. Aug 2006 B2
7098149 Lukas Aug 2006 B2
7098150 Misra et al. Aug 2006 B2
7100459 Gehner et al. Sep 2006 B2
7101763 Anderson et al. Sep 2006 B1
7108753 Wood Sep 2006 B2
7109098 Ramaswamy et al. Sep 2006 B1
7109114 Chen et al. Sep 2006 B2
7111232 Bascom Sep 2006 B1
7115305 Bronikowski et al. Oct 2006 B2
7115838 Kurara et al. Oct 2006 B2
7122085 Shero et al. Oct 2006 B2
7122222 Xiao et al. Oct 2006 B2
7122844 Nakamura et al. Oct 2006 B2
7129165 Basol et al. Oct 2006 B2
7132360 Schaeffer et al. Nov 2006 B2
7135421 Ahn et al. Nov 2006 B2
7140558 McCracken et al. Nov 2006 B2
7141499 Raaijmakers Nov 2006 B2
7143897 Guzman et al. Dec 2006 B1
7144806 Fair et al. Dec 2006 B1
7144809 Elers et al. Dec 2006 B2
7147766 Uzoh et al. Dec 2006 B2
7153542 Nguyen et al. Dec 2006 B2
D535673 Conway et al. Jan 2007 S
7156380 Soininen Jan 2007 B2
7163393 Adachi et al. Jan 2007 B2
7163721 Zhang et al. Jan 2007 B2
7163900 Weber Jan 2007 B2
7168852 Linnarsson Jan 2007 B2
7172497 Basol et al. Feb 2007 B2
7173216 Ptak Feb 2007 B2
7183229 Yamanaka Feb 2007 B2
7186648 Rozbicki Mar 2007 B1
7192824 Ahn et al. Mar 2007 B2
7192892 Ahn et al. Mar 2007 B2
7195479 Beatty et al. Mar 2007 B2
7195693 Cowans Mar 2007 B2
D541125 Gaudron Apr 2007 S
7198447 Morimitsu et al. Apr 2007 B2
7199513 Huber et al. Apr 2007 B2
7201943 Park et al. Apr 2007 B2
7202148 Chen et al. Apr 2007 B2
7202512 Chen et al. Apr 2007 B2
7204886 Chen et al. Apr 2007 B2
7204887 Kawamura et al. Apr 2007 B2
7205246 MacNeil et al. Apr 2007 B2
7205247 Lee et al. Apr 2007 B2
7207763 Lee Apr 2007 B2
7208198 Basceri et al. Apr 2007 B2
7208389 Tipton et al. Apr 2007 B1
7210925 Adachi May 2007 B2
7211524 Ryu et al. May 2007 B2
7211525 Shanker May 2007 B1
7214630 Varadarajan et al. May 2007 B1
7217617 Basceri May 2007 B2
7223014 Lojen May 2007 B2
7208413 Byun et al. Jun 2007 B2
7229502 Wang et al. Jun 2007 B2
7234476 Arai Jun 2007 B2
7235137 Kitayama et al. Jun 2007 B2
7235482 Wu Jun 2007 B2
7235501 Ahn et al. Jun 2007 B2
7238596 Kouvetakis et al. Jul 2007 B2
7238616 Agarwal Jul 2007 B2
7238653 Lee et al. Jul 2007 B2
D549815 Murphy Aug 2007 S
7256375 Oosterlaken Aug 2007 B2
7265061 Cho et al. Sep 2007 B1
7274867 Peukert Sep 2007 B2
D553104 Oohashi et al. Oct 2007 S
7279256 Son Oct 2007 B2
7290813 Bonora Nov 2007 B2
7294581 Haverkort et al. Nov 2007 B2
7296460 Dimeo et al. Nov 2007 B2
7297641 Todd et al. Nov 2007 B2
7298009 Yan et al. Nov 2007 B2
7301623 Madsen et al. Nov 2007 B1
D556704 Nakamura et al. Dec 2007 S
D557226 Uchino et al. Dec 2007 S
D558021 Lawrence Dec 2007 S
7307028 Goto et al. Dec 2007 B2
7307178 Kiyomori et al. Dec 2007 B2
7311977 Yokota et al. Dec 2007 B2
7312148 Ramaswamy et al. Dec 2007 B2
7312162 Ramaswamy et al. Dec 2007 B2
7312494 Ahn et al. Dec 2007 B2
D559993 Nagakubo et al. Jan 2008 S
D559994 Nagakubo et al. Jan 2008 S
7320544 Hsieh Jan 2008 B2
7323401 Ramaswamy et al. Jan 2008 B2
D562357 Hardy Feb 2008 S
7326656 Brask et al. Feb 2008 B2
7326657 Xia et al. Feb 2008 B2
7327948 Shrinivasan Feb 2008 B1
7329947 Adachi et al. Feb 2008 B2
7335611 Ramaswamy et al. Feb 2008 B2
7351057 Berenbak et al. Apr 2008 B2
7354482 Konishi et al. Apr 2008 B2
7354847 Chan et al. Apr 2008 B2
7354873 Fukazawa et al. Apr 2008 B2
7356762 van Driel Apr 2008 B2
7357138 Ji et al. Apr 2008 B2
7361447 Jung Apr 2008 B2
7375035 Heden et al. May 2008 B2
7376520 Wong May 2008 B2
7378618 Sorabji et al. May 2008 B1
7379785 Higashi et al. May 2008 B2
D571383 Ota et al. Jun 2008 S
D571831 Ota et al. Jun 2008 S
7381644 Soubramonium et al. Jun 2008 B1
7387685 Choi et al. Jun 2008 B2
7393207 Imai Jul 2008 B2
7393418 Yokogawa Jul 2008 B2
7393736 Ahn et al. Jul 2008 B2
7393765 Hanawa et al. Jul 2008 B2
7396491 Marking et al. Jul 2008 B2
7399388 Moghadam et al. Jul 2008 B2
7399570 Lee et al. Jul 2008 B2
7402534 Mahajani Jul 2008 B2
7405166 Liang et al. Jul 2008 B2
7405454 Ahn et al. Jul 2008 B2
D575713 Ratcliffe Aug 2008 S
7408225 Shinriki et al. Aug 2008 B2
7410290 Tanaka Aug 2008 B2
7410666 Elers Aug 2008 B2
7411352 Madocks Aug 2008 B2
7414281 Fastow Aug 2008 B1
D576001 Brunderman Sep 2008 S
7422635 Zheng et al. Sep 2008 B2
7422636 Ishizaka Sep 2008 B2
7422653 Blahnik et al. Sep 2008 B2
7422775 Ramaswamy et al. Sep 2008 B2
7425224 Nguyen Sep 2008 B2
7427571 Lindeboom et al. Sep 2008 B2
7429532 Ramaswamy et al. Sep 2008 B2
7431966 Derderian et al. Oct 2008 B2
7432476 Morita et al. Oct 2008 B2
7437060 Wang et al. Oct 2008 B2
7442275 Cowans Oct 2008 B2
7456429 Levy Nov 2008 B2
D583395 Ueda Dec 2008 S
7467632 Lee et al. Dec 2008 B2
7473655 Wang et al. Jan 2009 B2
7475588 Dimeo et al. Jan 2009 B2
7476291 Wang et al. Jan 2009 B2
7479198 Guffrey Jan 2009 B2
7482247 Papasouliotis Jan 2009 B1
7482283 Yamasaki et al. Jan 2009 B2
D585968 Elkins et al. Feb 2009 S
7489389 Shibazaki et al. Feb 2009 B2
7494882 Vitale Feb 2009 B2
7497614 Gaff Mar 2009 B2
7498242 Kumar et al. Mar 2009 B2
7501292 Matsushita et al. Mar 2009 B2
7501355 Bhatia et al. Mar 2009 B2
7503980 Kida et al. Mar 2009 B2
7504344 Matsuki et al. Mar 2009 B2
D590933 Vansell Apr 2009 S
7514058 Hitzman et al. Apr 2009 B1
7514375 Shanker et al. Apr 2009 B1
D593585 Ota et al. Jun 2009 S
D593969 Li Jun 2009 S
7541297 Mallick et al. Jun 2009 B2
7544398 Chang et al. Jun 2009 B1
7547363 Tomiyasu et al. Jun 2009 B2
7547633 Ranish et al. Jun 2009 B2
7550396 Frohberg et al. Jun 2009 B2
D596476 Welch Jul 2009 S
7561982 Rund et al. Jul 2009 B2
7563715 Haukka et al. Jul 2009 B2
7566891 Rocha-Alvarez et al. Jul 2009 B2
7569193 Ferron et al. Aug 2009 B2
7575968 Sadaka et al. Aug 2009 B2
7579285 Zimmerman et al. Aug 2009 B2
7579785 Shinmen et al. Aug 2009 B2
D600223 Aggarwal Sep 2009 S
7582555 Lang Sep 2009 B1
7582575 Fukazawa et al. Sep 2009 B2
7589003 Kouvetakis et al. Sep 2009 B2
7589028 Cho et al. Sep 2009 B1
7589029 Derderian et al. Sep 2009 B2
7591601 Matsuoka et al. Sep 2009 B2
7591907 Chen et al. Sep 2009 B2
D602575 Breda Oct 2009 S
7598513 Kouvetakis et al. Oct 2009 B2
7601223 Lindfors et al. Oct 2009 B2
7601225 Tuominen et al. Oct 2009 B2
7601652 Singh et al. Oct 2009 B2
7611640 Howald et al. Nov 2009 B1
7611751 Elers Nov 2009 B2
7611980 Wells et al. Nov 2009 B2
7618226 Takizawa Nov 2009 B2
7621672 Ripley Nov 2009 B2
7622369 Lee et al. Nov 2009 B1
7622378 Liu et al. Nov 2009 B2
7623940 Huskamp et al. Nov 2009 B2
D606952 Lee et al. Dec 2009 S
7625820 Papasouliotis Dec 2009 B1
7629277 Ghatnagar Dec 2009 B2
7632549 Goundar Dec 2009 B2
7638951 DeVincentis et al. Dec 2009 B2
7640142 Tachikawa et al. Dec 2009 B2
7645341 Kennedy et al. Jan 2010 B2
7645484 Ishizaka Jan 2010 B2
7648895 Kurokawa et al. Jan 2010 B2
7648927 Singh et al. Jan 2010 B2
7651269 Comendant Jan 2010 B2
7651583 Kent et al. Jan 2010 B2
7651955 Ranish et al. Jan 2010 B2
7651959 Fukazawa et al. Jan 2010 B2
7651961 Clark Jan 2010 B2
D609652 Nagasaka et al. Feb 2010 S
D609655 Sugimoto Feb 2010 S
7661299 Kusunoki Feb 2010 B2
7662689 Boyanov et al. Feb 2010 B2
7670432 Li Mar 2010 B2
7674726 Hasper et al. Mar 2010 B2
7678197 Maki Mar 2010 B2
7678715 Mungekar et al. Mar 2010 B2
7682454 Sneh Mar 2010 B2
7682657 Sherman Mar 2010 B2
D613829 Griffin et al. Apr 2010 S
D614153 Fondurulia et al. Apr 2010 S
D614258 Kojima Apr 2010 S
D614267 Breda Apr 2010 S
D614268 Breda Apr 2010 S
D614593 Lee et al. Apr 2010 S
7690881 Yamagishi Apr 2010 B2
7691205 Ikedo Apr 2010 B2
7692171 Kaszuba et al. Apr 2010 B2
7695808 Tuma Apr 2010 B2
D616390 Sato May 2010 S
D616394 Sato May 2010 S
7712435 Yoshizaki et al. May 2010 B2
7713874 Milligan May 2010 B2
7716993 Ozawa et al. May 2010 B2
7718930 Kawasaki et al. May 2010 B2
7720560 Menser et al. May 2010 B2
7723648 Tsukamoto et al. May 2010 B2
7725012 Aggarwal et al. May 2010 B2
7727864 Elers Jun 2010 B2
7727880 Chattopadhyay et al. Jun 2010 B1
7732343 Niroomand et al. Jun 2010 B2
7736437 Cadwell et al. Jun 2010 B2
7736528 Okita et al. Jun 2010 B2
7736600 Clark et al. Jun 2010 B2
7737035 Lind et al. Jun 2010 B1
7740437 de Ridder et al. Jun 2010 B2
7740705 Li Jun 2010 B2
7745346 Hausmann et al. Jun 2010 B2
7748760 Kushida Jul 2010 B2
7749563 Zheng et al. Jul 2010 B2
7753584 Gambino et al. Jul 2010 B2
7754621 Putjkonen Jul 2010 B2
7758698 Bang et al. Jul 2010 B2
7763869 Matsushita et al. Jul 2010 B2
7767262 Clark Aug 2010 B2
7771796 Kohno et al. Aug 2010 B2
7780440 Shibagaki et al. Aug 2010 B2
7780789 Wu et al. Aug 2010 B2
7781352 Fukazawa et al. Aug 2010 B2
7789559 Waser et al. Sep 2010 B2
7789965 Matsushita et al. Sep 2010 B2
7790633 Tarafdar et al. Sep 2010 B1
7794546 Li Sep 2010 B2
7798096 Mahajani et al. Sep 2010 B2
7799300 Lindfors et al. Sep 2010 B2
7799706 Yeom et al. Sep 2010 B2
7803722 Liang Sep 2010 B2
D625977 Watson et al. Oct 2010 S
7795160 Wang et al. Oct 2010 B2
7806587 Kobayashi Oct 2010 B2
7807566 Tsuji et al. Oct 2010 B2
7807578 Bencher et al. Oct 2010 B2
7816278 Reed et al. Oct 2010 B2
7824492 Tois et al. Nov 2010 B2
7825040 Fukazawa et al. Nov 2010 B1
7829460 Streck et al. Nov 2010 B2
7833348 Wada et al. Nov 2010 B2
7833353 Furukawahara et al. Nov 2010 B2
7838084 Derderian et al. Nov 2010 B2
7838431 Sanchez Nov 2010 B2
7842518 Miyajima Nov 2010 B2
7842622 Lee et al. Nov 2010 B1
D629874 Hermans Dec 2010 S
7850449 Yang et al. Dec 2010 B2
7851019 Tuominen et al. Dec 2010 B2
7851232 van Schravendijk et al. Dec 2010 B2
7858519 Liu et al. Dec 2010 B2
7858533 Liu et al. Dec 2010 B2
7858898 Bailey et al. Dec 2010 B2
7865070 Nakamura Jan 2011 B2
7871198 Rempe et al. Jan 2011 B2
7874726 Jacobs et al. Jan 2011 B2
7884918 Hattori Feb 2011 B2
7888233 Gauri Feb 2011 B1
7894474 Bell Feb 2011 B1
D633452 Namiki et al. Mar 2011 S
D634329 Wastrom Mar 2011 S
D634719 Yasuda et al. Mar 2011 S
7897215 Fair et al. Mar 2011 B1
7897217 Faguet Mar 2011 B2
7902009 Simonelli et al. Mar 2011 B2
7902582 Forbes et al. Mar 2011 B2
7906174 Wu et al. Mar 2011 B1
7910288 Abatchev et al. Mar 2011 B2
7910452 Roh et al. Mar 2011 B2
7910494 Dip et al. Mar 2011 B2
7915139 Lang Mar 2011 B1
7915667 Knoefler et al. Mar 2011 B2
7919142 Yeom et al. Apr 2011 B2
7919416 Lee et al. Apr 2011 B2
7923382 Huotari et al. Apr 2011 B2
7925378 Gilchrist et al. Apr 2011 B2
7935940 Smargiassi May 2011 B1
7939447 Bauer et al. May 2011 B2
7942969 Riker et al. May 2011 B2
7946762 Yednak May 2011 B2
7951262 Koshiishi et al. May 2011 B2
7955516 Chandrachood et al. Jun 2011 B2
7955646 Cruse et al. Jun 2011 B2
7955650 Tsuji Jun 2011 B2
7957708 Karschnia et al. Jun 2011 B2
7963736 Takizawa et al. Jun 2011 B2
7967913 Hua et al. Jun 2011 B2
7972980 Lee et al. Jul 2011 B2
7977256 Liu et al. Jul 2011 B2
7981751 Zhu et al. Jul 2011 B2
D643055 Takahashi Aug 2011 S
7989365 Park et al. Aug 2011 B2
7989736 Park et al. Aug 2011 B2
7992318 Kawaji Aug 2011 B2
7993457 Krotov et al. Aug 2011 B1
7994070 Dip et al. Aug 2011 B1
7994721 Espiau et al. Aug 2011 B2
7997795 Schwagerman et al. Aug 2011 B2
7998875 DeYoung Aug 2011 B2
8003174 Fukazawa Aug 2011 B2
8003919 Goto et al. Aug 2011 B2
8004198 Bakre et al. Aug 2011 B2
8020315 Nishimura Sep 2011 B2
8030129 Jeong Oct 2011 B2
8033771 Gage et al. Oct 2011 B1
8038835 Hayashi et al. Oct 2011 B2
8041197 Kasai et al. Oct 2011 B2
8041450 Takizawa et al. Oct 2011 B2
8043972 Liu et al. Oct 2011 B1
8046193 Yetter et al. Oct 2011 B2
8047711 Ploechinger Nov 2011 B2
8048783 Chung et al. Nov 2011 B2
8051799 Itagaki et al. Nov 2011 B2
8052794 Sumakeris et al. Nov 2011 B2
8055378 Numakura Nov 2011 B2
8060252 Gage et al. Nov 2011 B2
8083853 Choi et al. Nov 2011 B2
RE43023 Nakashima et al. Dec 2011 E
D649986 Fujikata et al. Dec 2011 S
D651291 Liebson et al. Dec 2011 S
8071451 Berry Dec 2011 B2
8071452 Raisanen Dec 2011 B2
8072578 Yasuda et al. Dec 2011 B2
8076230 Wei Dec 2011 B2
8076237 Uzoh Dec 2011 B2
8076250 Rajagopalan Dec 2011 B1
8076251 Akae et al. Dec 2011 B2
8078310 Nishimoto et al. Dec 2011 B2
8082946 Laverdiere et al. Dec 2011 B2
8084104 Shinriki et al. Dec 2011 B2
8084372 You et al. Dec 2011 B2
D652896 Gether Jan 2012 S
8092604 Tomiyasu et al. Jan 2012 B2
8092606 Park et al. Jan 2012 B2
8100583 Aggarwal Jan 2012 B2
D653734 Sisk Feb 2012 S
D654882 Honma et al. Feb 2012 S
D654884 Honma Feb 2012 S
D655055 Toll Feb 2012 S
8110099 Hersey et al. Feb 2012 B2
8114734 Yang et al. Feb 2012 B2
8119466 Avouris Feb 2012 B2
8119527 Chadrashekar et al. Feb 2012 B1
D655260 Honma et al. Mar 2012 S
D655261 Honma et al. Mar 2012 S
D655599 Durham Mar 2012 S
8128333 Aburatani Mar 2012 B2
8129290 Balseanu et al. Mar 2012 B2
8137462 Fondurulia et al. Mar 2012 B2
8137465 Shrinivasan et al. Mar 2012 B1
8138104 Balseanu et al. Mar 2012 B2
8138676 Mills Mar 2012 B2
8142862 Lee et al. Mar 2012 B2
8143174 Xia et al. Mar 2012 B2
8147242 Shibagaki et al. Apr 2012 B2
8152922 Schmidt et al. Apr 2012 B2
8158512 Ji et al. Apr 2012 B2
8172947 Shibata et al. May 2012 B2
8173554 Lee et al. May 2012 B2
8174400 Park et al. May 2012 B2
8178436 King et al. May 2012 B2
8187679 Dickey et al. May 2012 B2
8187951 Wang May 2012 B1
8192901 Kageyama Jun 2012 B2
8196234 Glunk Jun 2012 B2
8197915 Oka et al. Jun 2012 B2
8198168 Tanioku Jun 2012 B2
8202575 Monsma et al. Jun 2012 B2
8206506 Kadkhodayan et al. Jun 2012 B2
8216380 White et al. Jul 2012 B2
8227032 Dussarrat et al. Jul 2012 B2
8231799 Bera et al. Jul 2012 B2
D665055 Yanagisawa et al. Aug 2012 S
8241991 Hsieh et al. Aug 2012 B2
8241992 Clevenger et al. Aug 2012 B2
8242028 van Schravendijk et al. Aug 2012 B1
8242031 Mallick et al. Aug 2012 B2
8246900 Kasai et al. Aug 2012 B2
8252114 Vukovic Aug 2012 B2
8252659 Huyghabaert et al. Aug 2012 B2
8252691 Beynet et al. Aug 2012 B2
8253204 Lee et al. Aug 2012 B2
8267633 Obikane Sep 2012 B2
8272516 Salvador Sep 2012 B2
8278176 Bauer et al. Oct 2012 B2
8278224 Mui et al. Oct 2012 B1
8282769 Iizuka Oct 2012 B2
8282847 Romano Oct 2012 B2
8282992 Myo et al. Oct 2012 B2
8287648 Reed et al. Oct 2012 B2
8291857 Lam et al. Oct 2012 B2
8293016 Bahng et al. Oct 2012 B2
8293642 Kim Oct 2012 B2
8298336 Wang et al. Oct 2012 B2
8298951 Nakano Oct 2012 B1
8307472 Saxon et al. Nov 2012 B1
8309173 Tuominen et al. Nov 2012 B2
8318327 O'Donnell Nov 2012 B2
8318584 Li et al. Nov 2012 B2
8323413 Son Dec 2012 B2
8324699 Ichijo et al. Dec 2012 B2
8328939 Choi et al. Dec 2012 B2
8329599 Fukazawa et al. Dec 2012 B2
8333839 Oh Dec 2012 B2
8334219 Lee et al. Dec 2012 B2
8338809 Yang et al. Dec 2012 B2
8349083 Takasuka et al. Jan 2013 B2
D676943 Kluss et al. Feb 2013 S
8367528 Bauer et al. Feb 2013 B2
8372204 Nakamura Feb 2013 B2
8378464 Kato et al. Feb 2013 B2
8382370 Aggarwal et al. Feb 2013 B2
8382939 Kutney et al. Feb 2013 B2
8393091 Kawamoto Mar 2013 B2
8394466 Hong et al. Mar 2013 B2
8398773 Jdira et al. Mar 2013 B2
8402918 Kadkhodayan et al. Mar 2013 B2
8404044 Arai Mar 2013 B2
8404499 Moffatt Mar 2013 B2
8415258 Akae Apr 2013 B2
8415259 Lee et al. Apr 2013 B2
8415587 Millman et al. Apr 2013 B2
8419959 Bettencourt et al. Apr 2013 B2
8425682 Wang et al. Apr 2013 B2
8430620 Blank et al. Apr 2013 B1
8435894 Chandrashekar et al. May 2013 B2
8440259 Chiang et al. May 2013 B2
8443484 Ozaki et al. May 2013 B2
8444120 Gregg et al. May 2013 B2
8445075 Xu et al. May 2013 B2
8450191 Wang May 2013 B2
8454749 Li Jun 2013 B2
8465811 Ueda Jun 2013 B2
8465903 Weidman et al. Jun 2013 B2
8466411 Arai Jun 2013 B2
8470187 Ha Jun 2013 B2
8470718 Lee Jun 2013 B2
8484846 Dhindsa Jul 2013 B2
8492170 Xie et al. Jul 2013 B2
8496377 Harr et al. Jul 2013 B2
8496756 Cruse et al. Jul 2013 B2
8497213 Yasui et al. Jul 2013 B2
8501599 Ueno et al. Aug 2013 B2
8506162 Schick et al. Aug 2013 B2
8506713 Takagi Aug 2013 B2
8507720 Shay Aug 2013 B2
8524612 Li et al. Sep 2013 B2
8529701 Morita Sep 2013 B2
8535767 Kimura Sep 2013 B1
8536068 Weidman et al. Sep 2013 B2
D691974 Osada et al. Oct 2013 S
8551892 Nakano Oct 2013 B2
8557712 Antonelli et al. Oct 2013 B1
8562272 Lenz Oct 2013 B2
8563443 Fukazawa Oct 2013 B2
8569184 Oka Oct 2013 B2
D693200 Saunders Nov 2013 S
D693782 Mori et al. Nov 2013 S
8573152 de la Llera et al. Nov 2013 B2
8573154 Yorozuya Nov 2013 B2
8586484 Matsuyama et al. Nov 2013 B2
8591659 Fang et al. Nov 2013 B1
8592005 Ueda Nov 2013 B2
D694790 Matsumoto et al. Dec 2013 S
D695240 Iida et al. Dec 2013 S
8608885 Goto et al. Dec 2013 B2
8614047 Ayothi et al. Dec 2013 B2
8616765 Darabnia et al. Dec 2013 B2
8617411 Singh Dec 2013 B2
D697038 Matsumoto et al. Jan 2014 S
8623770 Gao et al. Jan 2014 B1
8633115 Chang et al. Jan 2014 B2
8637384 Ando et al. Jan 2014 B2
D698904 Milligan et al. Feb 2014 S
8642488 Liu et al. Feb 2014 B2
8647439 Sanchez et al. Feb 2014 B2
8647722 Kobayashi et al. Feb 2014 B2
8647993 Lavoie et al. Feb 2014 B1
8651788 Budde Feb 2014 B1
8664627 Ishikawa et al. Mar 2014 B1
8667654 Gros-Jean Mar 2014 B2
8668957 Dussarrat et al. Mar 2014 B2
8669185 Onizawa Mar 2014 B2
8679958 Takamure et al. Mar 2014 B2
D702188 Jacobs Apr 2014 S
8683943 Onodera et al. Apr 2014 B2
8697198 Lee Apr 2014 B2
8703002 Matsudo et al. Apr 2014 B2
8709162 Leung et al. Apr 2014 B2
8710580 Sakuma et al. Apr 2014 B2
8711338 Liu et al. Apr 2014 B2
D705745 Kurs et al. May 2014 S
D705762 Yu May 2014 S
8664127 Bhatia et al. May 2014 B2
8720965 Hino et al. May 2014 B2
8721791 Choi et al. May 2014 B2
8722510 Watanabe et al. May 2014 B2
8722546 Fukazawa et al. May 2014 B2
8726837 Patalay et al. May 2014 B2
8728832 Raisanen et al. May 2014 B2
8728956 Lavoie et al. May 2014 B2
8741062 Lindfors et al. Jun 2014 B2
8741065 Odagiri et al. Jun 2014 B2
8742668 Nakano et al. Jun 2014 B2
8758512 Lee Jun 2014 B2
8759223 Sapre et al. Jun 2014 B2
D709536 Yoshimura et al. Jul 2014 S
D709537 Kuwabara et al. Jul 2014 S
8764085 Urabe Jul 2014 B2
8771791 Lee et al. Jul 2014 B2
8771807 Xiao et al. Jul 2014 B2
8779502 Sakuma et al. Jul 2014 B2
8784676 Guha et al. Jul 2014 B2
8784950 Fukazawa et al. Jul 2014 B2
8784951 Fukazawa et al. Jul 2014 B2
8785215 Kobayashi et al. Jul 2014 B2
8785311 Miyoshi Jul 2014 B2
8790743 Omari Jul 2014 B1
8790749 Omori et al. Jul 2014 B2
8802201 Raisanen et al. Aug 2014 B2
8809170 Bauer Aug 2014 B2
D712358 Allen et al. Sep 2014 S
D712359 Allen et al. Sep 2014 S
8820809 Ando et al. Sep 2014 B2
8821640 Cleary et al. Sep 2014 B2
8821985 Shao et al. Sep 2014 B2
8823672 Kim Sep 2014 B2
8828886 Samukawa et al. Sep 2014 B2
8841182 Chen et al. Sep 2014 B1
8845806 Aida et al. Sep 2014 B2
8846502 Haukka et al. Sep 2014 B2
D715410 Lohmann Oct 2014 S
8859368 Deniz Oct 2014 B2
8860955 Rodnick et al. Oct 2014 B2
8864202 Schrameyer Oct 2014 B1
8864375 Abe et al. Oct 2014 B2
D716742 Jang et al. Nov 2014 S
8876974 Wan Nov 2014 B2
8877300 Lee Nov 2014 B2
8877655 Shero et al. Nov 2014 B2
8882923 Saido et al. Nov 2014 B2
8883270 Shero et al. Nov 2014 B2
8895108 Lee Nov 2014 B2
8895395 Kerber et al. Nov 2014 B1
8900935 Guo et al. Dec 2014 B2
8900999 Wu et al. Dec 2014 B1
8901016 Jeongseok et al. Dec 2014 B2
8911553 Baluja et al. Dec 2014 B2
8911826 Adachi et al. Dec 2014 B2
8912101 Tsuji et al. Dec 2014 B2
D720838 Yamagishi et al. Jan 2015 S
8927906 Tadokoro et al. Jan 2015 B2
8932802 Wu et al. Jan 2015 B2
8933375 Dunn et al. Jan 2015 B2
8937800 Lubomirsky et al. Jan 2015 B2
8940646 Chandrasekharan Jan 2015 B1
D723153 Borkholder Feb 2015 S
8945305 Marsh Feb 2015 B2
8945306 Tsuda Feb 2015 B2
8945339 Kakimoto Feb 2015 B2
8946830 Jung et al. Feb 2015 B2
8956971 Huakka Feb 2015 B2
8956983 Swaminathan Feb 2015 B2
D723330 York Mar 2015 S
D724553 Choi Mar 2015 S
D724701 Yamagishi et al. Mar 2015 S
D725168 Yamagishi Mar 2015 S
8967608 Mitsumori et al. Mar 2015 B2
8968989 Ouattara et al. Mar 2015 B2
8969934 Cheng et al. Mar 2015 B1
8974868 Ishikawa et al. Mar 2015 B2
8980006 Huh et al. Mar 2015 B2
8986456 Fondurulia et al. Mar 2015 B2
8991214 Hoshino et al. Mar 2015 B2
8991887 Shin et al. Mar 2015 B2
8993054 Jung et al. Mar 2015 B2
8993072 Xiao et al. Mar 2015 B2
8993457 Ramkumar et al. Mar 2015 B1
D726365 Weigensberg Apr 2015 S
D726884 Yamagishi et al. Apr 2015 S
8999102 Miyoshi et al. Apr 2015 B2
9004744 Kemp Apr 2015 B1
9005539 Halpin et al. Apr 2015 B2
9017481 Pettinger et al. Apr 2015 B1
9017933 Liu et al. Apr 2015 B2
9018093 Tsuji et al. Apr 2015 B2
9018111 Milligan et al. Apr 2015 B2
9018567 de Ridder et al. Apr 2015 B2
9021985 Alokozai et al. May 2015 B2
9023737 Beynet et al. May 2015 B2
9023738 Kato et al. May 2015 B2
9029244 Won et al. May 2015 B2
9029253 Milligan et al. May 2015 B2
9029272 Nakano May 2015 B1
D732145 Yamagishi Jun 2015 S
D732644 Yamagishi et al. Jun 2015 S
D733257 Schoenherr et al. Jun 2015 S
D733261 Yamagishi et al. Jun 2015 S
D733262 Kang Jun 2015 S
9057388 Comeau et al. Jun 2015 B2
9064815 Zhang et al. Jun 2015 B2
D733843 Yamagishi Jul 2015 S
D734377 Hirakida Jul 2015 S
9076635 Gross et al. Jul 2015 B2
9076726 Kauerauf et al. Jul 2015 B2
D735836 Yamagishi et al. Aug 2015 S
D736348 Tan Aug 2015 S
9095869 Kilpi et al. Aug 2015 B2
9096931 Yednak et al. Aug 2015 B2
9099423 Weeks et al. Aug 2015 B2
9099505 Kusakabe et al. Aug 2015 B2
9111972 Takeshita et al. Aug 2015 B2
9117657 Nakano et al. Aug 2015 B2
9117866 Marquardt et al. Aug 2015 B2
D739222 Chadbourne Sep 2015 S
9123510 Nakano et al. Sep 2015 B2
9123577 Fujimoto et al. Sep 2015 B2
9127358 Inoue et al. Sep 2015 B2
9127362 Scheible et al. Sep 2015 B2
9129897 Pore et al. Sep 2015 B2
9136108 Matsushita et al. Sep 2015 B2
9136180 Machkaoutsan Sep 2015 B2
9142393 Okabe et al. Sep 2015 B2
9142437 Fosnight et al. Sep 2015 B2
9142764 Wang Sep 2015 B1
9153441 Takamure et al. Oct 2015 B2
9163310 Lee Oct 2015 B2
9166012 Sim et al. Oct 2015 B2
9169975 Sarin et al. Oct 2015 B2
9171714 Mori Oct 2015 B2
9171715 Matero Oct 2015 B2
9171716 Fukuda Oct 2015 B2
D742202 Cyphers et al. Nov 2015 S
D743357 Vyne Nov 2015 S
D743513 Yamagishi Nov 2015 S
9174178 Berger et al. Nov 2015 B2
9175394 Yudovsky et al. Nov 2015 B2
9177784 Raisanen et al. Nov 2015 B2
9184047 Liu et al. Nov 2015 B2
9184054 Huang et al. Nov 2015 B1
9184060 Lee Nov 2015 B1
9190263 Ishikawa et al. Nov 2015 B2
9190264 Yuasa et al. Nov 2015 B2
9196483 Lee et al. Nov 2015 B1
D745641 Blum Dec 2015 S
9202727 Dunn et al. Dec 2015 B2
9214333 Sims et al. Dec 2015 B1
9214340 Kurita et al. Dec 2015 B2
9219006 Chatterjee Dec 2015 B2
9223203 Farm et al. Dec 2015 B2
9228259 Haukka et al. Jan 2016 B2
9240412 Xie et al. Jan 2016 B2
9245742 Haukka Jan 2016 B2
9252024 Lam et al. Feb 2016 B2
9252238 Trevino et al. Feb 2016 B1
9257274 Kang et al. Feb 2016 B2
9263298 Matsumoto et al. Feb 2016 B2
9267204 Honma Feb 2016 B2
9267850 Aggarwal Feb 2016 B2
D751176 Schoenherr et al. Mar 2016 S
9275834 Park et al. Mar 2016 B1
9281223 Hara Mar 2016 B2
9281277 Baek et al. Mar 2016 B2
9284642 Nakano Mar 2016 B2
9287273 Ragnarsson et al. Mar 2016 B2
9297705 Aggarwal Mar 2016 B2
9299557 Tolle et al. Mar 2016 B2
9299595 Dunn et al. Mar 2016 B2
D753269 Yamagishi et al. Apr 2016 S
D753629 Plattard Apr 2016 S
9305836 Gates et al. Apr 2016 B1
9309598 Wang et al. Apr 2016 B2
9309978 Hatch et al. Apr 2016 B2
9310684 Meyers et al. Apr 2016 B2
9312155 Mori Apr 2016 B2
9315897 Byun Apr 2016 B2
9324811 Weeks Apr 2016 B2
9324846 Camillo Apr 2016 B1
D756929 Harck et al. May 2016 S
9331200 Wang et al. May 2016 B1
9337031 Kim et al. May 2016 B2
9337054 Hunks et al. May 2016 B2
9337057 Park et al. May 2016 B2
9341296 Yednak May 2016 B2
9343297 Fukazawa et al. May 2016 B1
9343308 Isii May 2016 B2
9343343 Mori May 2016 B2
9343350 Arai May 2016 B2
9349620 Kamata et al. May 2016 B2
9353440 Ge et al. May 2016 B2
9353441 Chung May 2016 B2
9355876 Reuter et al. May 2016 B2
9355882 Wu et al. May 2016 B2
9355886 Swaminathan et al. May 2016 B2
D759137 Hassan Jun 2016 S
D759193 Gutierrez et al. Jun 2016 S
9362107 Thadani et al. Jun 2016 B2
9362137 Kang et al. Jun 2016 B2
9362180 Lee et al. Jun 2016 B2
9365924 Nonaka Jun 2016 B2
9368352 Takamure et al. Jun 2016 B2
9370863 Tsuji et al. Jun 2016 B2
9378969 Hsu et al. Jun 2016 B2
D761325 Abed Jul 2016 S
9384987 Jung et al. Jul 2016 B2
9390909 Pasquale et al. Jul 2016 B2
9394608 Shero et al. Jul 2016 B2
9396934 Tolle Jul 2016 B2
9396956 Fukazawa Jul 2016 B1
9399228 Breiling et al. Jul 2016 B2
D764196 Handler et al. Aug 2016 S
9404587 Shugrue Aug 2016 B2
9412564 Milligan Aug 2016 B2
9412581 Thadani et al. Aug 2016 B2
9412582 Sasaki et al. Aug 2016 B2
9418885 Sung et al. Aug 2016 B2
9425078 Tang et al. Aug 2016 B2
9428833 Duvall et al. Aug 2016 B1
9443725 Liu et al. Sep 2016 B2
9447498 Shiba et al. Sep 2016 B2
9449793 Shaji et al. Sep 2016 B2
9449795 Sabri et al. Sep 2016 B2
9449843 Korolik et al. Sep 2016 B1
9449987 Miyata et al. Sep 2016 B1
9455138 Fukazawa Sep 2016 B1
9455177 Park et al. Sep 2016 B1
9460954 De Jong et al. Oct 2016 B2
9464352 Nakano et al. Oct 2016 B2
9472410 Sadjadi et al. Oct 2016 B2
9472432 Blank Oct 2016 B1
9474163 Tolle et al. Oct 2016 B2
9478414 Kobayashi et al. Oct 2016 B2
9478415 Kimura Oct 2016 B2
D770993 Yoshida et al. Nov 2016 S
9484191 Winkler Nov 2016 B2
9496225 Adusumilli et al. Nov 2016 B1
9514927 Tolle et al. Dec 2016 B2
9514932 Mallick et al. Dec 2016 B2
9520289 Park et al. Dec 2016 B2
9523148 Pore et al. Dec 2016 B1
D777546 Ishii et al. Jan 2017 S
9543180 Kamiya Jan 2017 B2
9556516 Takamure Jan 2017 B2
9558931 Tang Jan 2017 B2
9564312 Henri et al. Feb 2017 B2
9564314 Takamure et al. Feb 2017 B2
9570302 Chang et al. Feb 2017 B1
9574268 Dunn et al. Feb 2017 B1
9576952 Joshi et al. Feb 2017 B2
9583333 Chatterjee Feb 2017 B2
9583345 Chen et al. Feb 2017 B2
D782419 Willette Mar 2017 S
9589770 Winkler Mar 2017 B2
9605342 Alokozai et al. Mar 2017 B2
9605343 Winkler Mar 2017 B2
9605736 Foshage et al. Mar 2017 B1
9607837 Namba Mar 2017 B1
D783351 Fujino et al. Apr 2017 S
D784276 Tiner et al. Apr 2017 S
9613801 Carcasi et al. Apr 2017 B2
9618846 Shamma et al. Apr 2017 B2
9627221 Zaitsu et al. Apr 2017 B1
D785766 Sato May 2017 S
D787458 Kim et al. May 2017 S
9640416 Arai May 2017 B2
9640448 Ikegawa et al. May 2017 B2
9640542 Lee et al. May 2017 B2
9644266 Nasu et al. May 2017 B2
9647114 Margetis May 2017 B2
9653267 Carducci et al. May 2017 B2
9657845 Shugrue May 2017 B2
9659799 Lawson May 2017 B2
9663857 Nakano et al. May 2017 B2
9666528 Bergendahl et al. May 2017 B1
D789888 Jang et al. Jun 2017 S
D790041 Jang et al. Jun 2017 S
9680268 Finona Jun 2017 B1
9684234 Darling et al. Jun 2017 B2
9685320 Kang et al. Jun 2017 B2
9691668 Chang et al. Jun 2017 B2
9691771 Lansalot-Matras Jun 2017 B2
9698031 Kobayashi et al. Jul 2017 B2
9708707 Ditizio et al. Jul 2017 B2
9708708 Isobe et al. Jul 2017 B2
9711345 Shiba et al. Jul 2017 B2
D793352 Hill Aug 2017 S
D793526 Behdjat Aug 2017 S
D793572 Kozuka et al. Aug 2017 S
D793976 Fukushima et al. Aug 2017 S
D794753 Miller Aug 2017 S
D795208 Sasaki et al. Aug 2017 S
9735024 Zaitsu Aug 2017 B2
9741546 Carducci et al. Aug 2017 B2
9741559 Shimura et al. Aug 2017 B2
9745658 Kang et al. Aug 2017 B2
9748104 Sasaki et al. Aug 2017 B2
9748145 Kannan et al. Aug 2017 B1
D796458 Jang et al. Sep 2017 S
D796670 Dolk et al. Sep 2017 S
D797067 Zhang et al. Sep 2017 S
D798248 Hanson et al. Sep 2017 S
9754779 Ishikawa Sep 2017 B1
9754818 Shiu et al. Sep 2017 B2
9759489 Kaneko Sep 2017 B2
9765432 Ge et al. Sep 2017 B2
9773818 Kimura Sep 2017 B2
D800782 Bever et al. Oct 2017 S
9778561 Marks et al. Oct 2017 B2
9780225 Venkatasubramanian et al. Oct 2017 B2
9786491 Suzuki et al. Oct 2017 B2
9786570 Kang et al. Oct 2017 B2
9790595 Jung et al. Oct 2017 B2
9793115 Tolle Oct 2017 B2
9793135 Zaitsu et al. Oct 2017 B1
9793148 Yamagishi et al. Oct 2017 B2
9798308 Mimura Oct 2017 B2
9799736 Ebrish et al. Oct 2017 B1
9803926 Kikuchi et al. Oct 2017 B2
D801942 Riker et al. Nov 2017 S
D802472 Sasaki et al. Nov 2017 S
D802546 Jang et al. Nov 2017 S
D803802 Sasaki et al. Nov 2017 S
9808246 Shelton et al. Nov 2017 B2
9812319 Fukazawa et al. Nov 2017 B1
9812320 Pore et al. Nov 2017 B1
9812372 Choi et al. Nov 2017 B2
9820289 Pawar et al. Nov 2017 B1
9824881 Niskanen et al. Nov 2017 B2
9824884 Sims et al. Nov 2017 B1
9824893 Smith et al. Nov 2017 B1
9837355 Briggs et al. Dec 2017 B2
9842835 Cheng et al. Dec 2017 B1
9847221 McLaughlin et al. Dec 2017 B1
9847247 Huang et al. Dec 2017 B2
9850573 Sun Dec 2017 B1
D807494 Kim et al. Jan 2018 S
D808254 Deleu Jan 2018 S
9859151 Zhu Jan 2018 B1
9865455 Sims et al. Jan 2018 B1
9865456 Pandey et al. Jan 2018 B1
9865815 Hausmann Jan 2018 B2
9868131 Kilpi et al. Jan 2018 B2
9870964 Yoshino et al. Jan 2018 B1
9875891 Henri et al. Jan 2018 B2
9875893 Takamure et al. Jan 2018 B2
9881788 Kim et al. Jan 2018 B2
D810705 Krishnan et al. Feb 2018 S
9887082 Pore et al. Feb 2018 B1
9890456 Tolle et al. Feb 2018 B2
9891521 Kang et al. Feb 2018 B2
9892908 Pettinger et al. Feb 2018 B2
9892913 Margetis et al. Feb 2018 B2
9895715 Haukka et al. Feb 2018 B2
9899291 Kato Feb 2018 B2
9899405 Kim Feb 2018 B2
9905420 Margetis et al. Feb 2018 B2
9905492 Tang et al. Feb 2018 B2
9909214 Suemori Mar 2018 B2
9909492 Jeswine Mar 2018 B2
9911595 Smith et al. Mar 2018 B1
9911676 Tang Mar 2018 B2
9916980 Knaepen Mar 2018 B1
9920451 Sivaramakrishnan et al. Mar 2018 B2
9922824 Okada Mar 2018 B2
9929005 Shimamoto et al. Mar 2018 B1
9929011 Hawryluk et al. Mar 2018 B2
9929055 Dube et al. Mar 2018 B2
9951421 Lind Apr 2018 B2
9960033 Nozawa May 2018 B1
9960072 Coomer May 2018 B2
9966299 Tang et al. May 2018 B2
9970112 Koshi et al. May 2018 B2
9984869 Blanquart May 2018 B1
D819580 Krishnan et al. Jun 2018 S
9987747 Hwang et al. Jun 2018 B2
9991138 Lin et al. Jun 2018 B2
9996004 Smith et al. Jun 2018 B2
9997357 Arghavani et al. Jun 2018 B2
9997373 Hudson Jun 2018 B2
10032628 Xie et al. Jun 2018 B2
10014212 Chen et al. Jul 2018 B2
10017856 Arnepalli et al. Jul 2018 B1
10018920 Chang et al. Jul 2018 B2
10023960 Alokozai Jul 2018 B2
10032792 Kim et al. Jul 2018 B2
D825505 Hanson et al. Aug 2018 S
D825614 Bever et al. Aug 2018 S
10043661 Kato et al. Aug 2018 B2
10047435 Haukka et al. Aug 2018 B2
10053774 Tolle et al. Aug 2018 B2
10060473 Davey et al. Aug 2018 B2
D827592 Ichino et al. Sep 2018 S
D829306 Ikedo et al. Sep 2018 S
10083836 Milligan Sep 2018 B2
D830981 Jeong et al. Oct 2018 S
10087522 Raisanen et al. Oct 2018 B2
10087525 Schmotzer et al. Oct 2018 B2
10090316 Ootsuka Oct 2018 B2
10103040 Oosterlaken et al. Oct 2018 B1
10106892 Siddiqui et al. Oct 2018 B1
RE47145 Hashimoto Nov 2018 E
D834686 Yamada et al. Nov 2018 S
10121671 Fu et al. Nov 2018 B2
10134617 Gurary et al. Nov 2018 B2
10134757 Chun et al. Nov 2018 B2
RE47170 Beynet et al. Dec 2018 E
10147600 Takamure et al. Dec 2018 B2
10167557 Hawkins et al. Jan 2019 B2
10177024 Gomm et al. Jan 2019 B2
10177025 Pore Jan 2019 B2
10179947 Fukazawa Jan 2019 B2
10186420 Fukazawa Jan 2019 B2
10190213 Zhu et al. Jan 2019 B2
10190214 Shon et al. Jan 2019 B2
10190701 Raj et al. Jan 2019 B2
10192734 Sanchez et al. Jan 2019 B2
10193429 Smith et al. Jan 2019 B2
D840364 Ichino et al. Feb 2019 S
10204788 Ye et al. Feb 2019 B1
10211308 Zhu et al. Feb 2019 B2
10229833 Raisanen et al. Mar 2019 B2
10229851 Briggs et al. Mar 2019 B2
10229985 Li et al. Mar 2019 B1
10236177 Kohen et al. Mar 2019 B1
D846008 Geldenhuys et al. Apr 2019 S
10249524 den Hartog Besselink et al. Apr 2019 B2
10249577 Lee et al. Apr 2019 B2
10262859 Margetis et al. Apr 2019 B2
10269558 Blanquart et al. Apr 2019 B2
10276355 White et al. Apr 2019 B2
D849055 Kneip May 2019 S
D849662 Rike May 2019 S
10283353 Kobayashi et al. May 2019 B2
10287684 Yanai et al. May 2019 B2
10290508 Kubota et al. May 2019 B1
10297440 Yamazaki et al. May 2019 B2
RE47440 Yudovsky et al. Jun 2019 E
10312055 Suzuki Jun 2019 B2
10312129 Coomer Jun 2019 B2
10319588 Mattinen et al. Jun 2019 B2
10322384 Stumpf et al. Jun 2019 B2
10332747 Watanabe et al. Jun 2019 B1
10332963 Xie Jun 2019 B1
D855089 Hopkins Jul 2019 S
10340125 Winkler Jul 2019 B2
10340135 Blanquart Jul 2019 B2
10343920 Haukka Jul 2019 B2
10347547 Varadarajan et al. Jul 2019 B2
10354873 Ko et al. Jul 2019 B2
10361201 Xie et al. Jul 2019 B2
10361366 Hakamata et al. Jul 2019 B2
10367080 Tang et al. Jul 2019 B2
10388513 Blanquart Aug 2019 B1
10395917 Niskanen et al. Aug 2019 B2
10395919 Masaru et al. Aug 2019 B2
10395963 Cooke Aug 2019 B2
D859136 Tenander et al. Sep 2019 S
10400335 Ge et al. Sep 2019 B2
10410943 Jiang et al. Sep 2019 B2
10424476 Suzuki et al. Sep 2019 B2
10424477 Niskanen et al. Sep 2019 B2
D864134 Watarai et al. Oct 2019 S
10428419 Huotari et al. Oct 2019 B2
10435790 Fukazawa et al. Oct 2019 B2
D867867 Tenander et al. Nov 2019 S
10468244 Li et al. Nov 2019 B2
10468251 Ishikawa et al. Nov 2019 B2
10483154 Smith et al. Nov 2019 B1
10510529 Suzuki et al. Dec 2019 B2
10510871 More et al. Dec 2019 B1
10529554 Ishikawa et al. Jan 2020 B2
D876504 Lee et al. Feb 2020 S
10590531 Shirako et al. Mar 2020 B1
10590535 Huggare Mar 2020 B2
10600637 Suzuki et al. Mar 2020 B2
D880437 Lee et al. Apr 2020 S
D881338 Chen Apr 2020 S
10622196 Nagayama et al. Apr 2020 B2
10622236 Kuo et al. Apr 2020 B2
10648788 Boyd et al. May 2020 B2
10662525 Jang et al. May 2020 B2
10704143 Hisamitsu et al. Jul 2020 B1
10714335 Kim et al. Jul 2020 B2
10731249 Hatanpää et al. Aug 2020 B2
10734497 Zhu et al. Aug 2020 B2
10741385 Pore et al. Aug 2020 B2
10741386 Chen et al. Aug 2020 B2
10763139 Shindo Sep 2020 B2
10770336 Hill et al. Sep 2020 B2
D900036 Wuester et al. Oct 2020 S
D903477 Goratela et al. Dec 2020 S
D913980 Lee et al. Mar 2021 S
D914620 Rokkam et al. Mar 2021 S
10950477 Lin et al. Mar 2021 B2
11018003 Huang et al. May 2021 B2
D922229 Jun et al. Jun 2021 S
11053584 Hsieh et al. Jul 2021 B2
20010000141 Zhou et al. Apr 2001 A1
20010001953 Griffiths et al. May 2001 A1
20010002581 Nishikawa et al. Jun 2001 A1
20010003015 Chang et al. Jun 2001 A1
20010003191 Kovacs et al. Jun 2001 A1
20010003271 Otsuki Jun 2001 A1
20010004880 Cho et al. Jun 2001 A1
20010005630 Kim et al. Jun 2001 A1
20010006070 Shang Jul 2001 A1
20010007244 Matsuse Jul 2001 A1
20010007246 Ueda et al. Jul 2001 A1
20010007645 Honma Jul 2001 A1
20010014267 Yamaga et al. Aug 2001 A1
20010014514 Geusic Aug 2001 A1
20010015343 Sprey et al. Aug 2001 A1
20010016273 Narasimhan et al. Aug 2001 A1
20010017103 Takeshita et al. Aug 2001 A1
20010018267 Shinriki et al. Aug 2001 A1
20010019347 Hauck Sep 2001 A1
20010019777 Tanaka et al. Sep 2001 A1
20010019900 Hasegawa Sep 2001 A1
20010020715 Yamasaki Sep 2001 A1
20010021591 Srinivasan et al. Sep 2001 A1
20010022215 Donohoe Sep 2001 A1
20010024387 Raaijmakers et al. Sep 2001 A1
20010027026 Dhindsa et al. Oct 2001 A1
20010027585 Lee Oct 2001 A1
20010028924 Sherman Oct 2001 A1
20010031535 Agnello et al. Oct 2001 A1
20010031541 Madan et al. Oct 2001 A1
20010034097 Lim et al. Oct 2001 A1
20010038783 Nakashima et al. Nov 2001 A1
20010039922 Nakahara Nov 2001 A1
20010039966 Walpole et al. Nov 2001 A1
20010040511 Bushner et al. Nov 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010042511 Liu et al. Nov 2001 A1
20010042514 Mizuno et al. Nov 2001 A1
20010042594 Shamouilian et al. Nov 2001 A1
20010046765 Cappellani et al. Nov 2001 A1
20010047761 Wijck et al. Dec 2001 A1
20010048981 Suzuki Dec 2001 A1
20010049080 Asano Dec 2001 A1
20010049202 Maeda et al. Dec 2001 A1
20010052556 Ting et al. Dec 2001 A1
20010054381 Umotoy et al. Dec 2001 A1
20010054388 Qian Dec 2001 A1
20020000195 Bang et al. Jan 2002 A1
20020000202 Yuda et al. Jan 2002 A1
20020001971 Cho Jan 2002 A1
20020001974 Chan Jan 2002 A1
20020001976 Danek Jan 2002 A1
20020005400 Gat et al. Jan 2002 A1
20020005943 Voinalovich Jan 2002 A1
20020008270 Marsh Jan 2002 A1
20020009119 Matthew et al. Jan 2002 A1
20020009560 Ozono Jan 2002 A1
20020009861 Narwankar et al. Jan 2002 A1
20020011210 Satoh et al. Jan 2002 A1
20020011211 Halpin Jan 2002 A1
20020011310 Kamarehi et al. Jan 2002 A1
20020013792 Imielinski et al. Jan 2002 A1
20020014204 Pyo Feb 2002 A1
20020014483 Suzuki et al. Feb 2002 A1
20020015853 Wataya et al. Feb 2002 A1
20020016829 Defosse Feb 2002 A1
20020020429 Selbrede et al. Feb 2002 A1
20020022347 Park et al. Feb 2002 A1
20020023677 Zheng Feb 2002 A1
20020025688 Kato Feb 2002 A1
20020027945 Hirano et al. Mar 2002 A1
20020030047 Shao et al. Mar 2002 A1
20020031644 Malofsky et al. Mar 2002 A1
20020033183 Sun et al. Mar 2002 A1
20020036065 Yamagishi et al. Mar 2002 A1
20020041931 Suntola et al. Apr 2002 A1
20020043337 Goodman et al. Apr 2002 A1
20020045336 Locati et al. Apr 2002 A1
20020047705 Tada et al. Apr 2002 A1
20020048634 Basceri Apr 2002 A1
20020050648 Kishida et al. May 2002 A1
20020052119 Cleemput May 2002 A1
20020061716 Korovin et al. May 2002 A1
20020062633 Denker et al. May 2002 A1
20020064592 Datta et al. May 2002 A1
20020064598 Wang et al. May 2002 A1
20020066532 Shih et al. Jun 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020069222 McNeely Jun 2002 A1
20020073922 Frankel et al. Jun 2002 A1
20020073923 Saito et al. Jun 2002 A1
20020076490 Chiang et al. Jun 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020076944 Wang et al. Jun 2002 A1
20020078893 Van Os et al. Jun 2002 A1
20020079056 Kudo et al. Jun 2002 A1
20020079714 Soucy et al. Jun 2002 A1
20020081826 Rotondaro et al. Jun 2002 A1
20020086501 O'Donnell et al. Jul 2002 A1
20020088542 Nishikawa et al. Jul 2002 A1
20020090735 Kishkovich et al. Jul 2002 A1
20020094378 O'Donnell et al. Jul 2002 A1
20020094388 Fonash et al. Jul 2002 A1
20020096211 Zheng Jul 2002 A1
20020098627 Pomarede et al. Jul 2002 A1
20020099470 Zinger et al. Jul 2002 A1
20020100418 Sandhu et al. Aug 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020104751 Drewery et al. Aug 2002 A1
20020106909 Kato et al. Aug 2002 A1
20020108570 Lindfors Aug 2002 A1
20020108670 Baker et al. Aug 2002 A1
20020108714 Doering et al. Aug 2002 A1
20020109115 Cederstav et al. Aug 2002 A1
20020110695 Yang et al. Aug 2002 A1
20020110991 Li Aug 2002 A1
20020112114 Blair et al. Aug 2002 A1
20020114886 Chou et al. Aug 2002 A1
20020115252 Haukka et al. Aug 2002 A1
20020117113 Tsuruno et al. Aug 2002 A1
20020117262 Pang et al. Aug 2002 A1
20020122885 Ahn Sep 2002 A1
20020123200 Yamamoto et al. Sep 2002 A1
20020123230 Hubacek Sep 2002 A1
20020123237 Nguyen et al. Sep 2002 A1
20020124883 Zheng Sep 2002 A1
20020124906 Suzuki et al. Sep 2002 A1
20020127350 Ishikawa et al. Sep 2002 A1
20020127956 Ashjaee et al. Sep 2002 A1
20020129768 Carpenter et al. Sep 2002 A1
20020132408 Ma et al. Sep 2002 A1
20020134511 Ushioda et al. Sep 2002 A1
20020136214 Do et al. Sep 2002 A1
20020136909 Yang Sep 2002 A1
20020139775 Chang Oct 2002 A1
20020146512 Rossman Oct 2002 A1
20020151327 Levitt Oct 2002 A1
20020152244 Dean et al. Oct 2002 A1
20020155219 Wang et al. Oct 2002 A1
20020155708 Lo et al. Oct 2002 A1
20020157611 Bondestam et al. Oct 2002 A1
20020160112 Sakai et al. Oct 2002 A1
20020164420 Derderian et al. Nov 2002 A1
20020164423 Chiang et al. Nov 2002 A1
20020168870 Matsuki Nov 2002 A1
20020170676 Mitrovic et al. Nov 2002 A1
20020172768 Endo et al. Nov 2002 A1
20020174106 Martin Nov 2002 A1
20020179011 Jonnalagadda et al. Dec 2002 A1
20020181612 Warble et al. Dec 2002 A1
20020184111 Swanson Dec 2002 A1
20020187650 Blalock et al. Dec 2002 A1
20020187656 Tan et al. Dec 2002 A1
20020188376 Derderian et al. Dec 2002 A1
20020192370 Metzner et al. Dec 2002 A1
20020197402 Chiang et al. Dec 2002 A1
20020197849 Mandal Dec 2002 A1
20030000647 Yudovsky et al. Jan 2003 A1
20030002562 Yerlikaya et al. Jan 2003 A1
20030003607 Kagoshima Jan 2003 A1
20030003635 Paranjpe et al. Jan 2003 A1
20030003696 Gelatos et al. Jan 2003 A1
20030003719 Lim et al. Jan 2003 A1
20030008528 Xia et al. Jan 2003 A1
20030008602 Ashjaee et al. Jan 2003 A1
20030010355 Nowak et al. Jan 2003 A1
20030010451 Tzu Jan 2003 A1
20030010452 Park et al. Jan 2003 A1
20030012632 Saeki Jan 2003 A1
20030013314 Ying et al. Jan 2003 A1
20030015141 Takagi Jan 2003 A1
20030015294 Wang Jan 2003 A1
20030015596 Evans Jan 2003 A1
20030017265 Basceri et al. Jan 2003 A1
20030017266 Basceri et al. Jan 2003 A1
20030017268 Hu Jan 2003 A1
20030019428 Ku et al. Jan 2003 A1
20030019580 Strang Jan 2003 A1
20030022468 Shioya et al. Jan 2003 A1
20030022523 Irino et al. Jan 2003 A1
20030023338 Chin et al. Jan 2003 A1
20030024901 Ishikawa Feb 2003 A1
20030025146 Narwankar et al. Feb 2003 A1
20030026904 Yadav et al. Feb 2003 A1
20030027431 Sneh et al. Feb 2003 A1
20030029303 Hasegawa et al. Feb 2003 A1
20030029381 Nishibayashi Feb 2003 A1
20030029475 Hua et al. Feb 2003 A1
20030029563 Kaushal et al. Feb 2003 A1
20030032297 Lindstrom et al. Feb 2003 A1
20030035002 Moles Feb 2003 A1
20030035705 Johnson Feb 2003 A1
20030036272 Shamouilian et al. Feb 2003 A1
20030037800 Bailey et al. Feb 2003 A1
20030040120 Allen et al. Feb 2003 A1
20030040158 Saitoh Feb 2003 A1
20030040196 Lim et al. Feb 2003 A1
20030040841 Nasr et al. Feb 2003 A1
20030041971 Kido et al. Mar 2003 A1
20030042419 Katsumata et al. Mar 2003 A1
20030045961 Nakao Mar 2003 A1
20030049372 Cook et al. Mar 2003 A1
20030049375 Nguyen et al. Mar 2003 A1
20030049499 Murakawa et al. Mar 2003 A1
20030049571 Hallock et al. Mar 2003 A1
20030049580 Goodman Mar 2003 A1
20030049937 Suzuki Mar 2003 A1
20030054670 Wang et al. Mar 2003 A1
20030056726 Holst et al. Mar 2003 A1
20030057848 Yuasa et al. Mar 2003 A1
20030059535 Luo et al. Mar 2003 A1
20030059980 Chen et al. Mar 2003 A1
20030062359 Ho et al. Apr 2003 A1
20030065413 Liteplo et al. Apr 2003 A1
20030066482 Pokharna et al. Apr 2003 A1
20030066541 Sun et al. Apr 2003 A1
20030066826 Lee et al. Apr 2003 A1
20030070617 Kim et al. Apr 2003 A1
20030071015 Chinn et al. Apr 2003 A1
20030072882 Niinisto et al. Apr 2003 A1
20030075107 Miyano et al. Apr 2003 A1
20030075925 Lindfors et al. Apr 2003 A1
20030077857 Xia et al. Apr 2003 A1
20030077883 Ohtake Apr 2003 A1
20030082296 Elers et al. May 2003 A1
20030082307 Chung et al. May 2003 A1
20030085663 Horsky May 2003 A1
20030091938 Fairbairn et al. May 2003 A1
20030094133 Yoshidome et al. May 2003 A1
20030101938 Ronsse et al. Jun 2003 A1
20030109107 Hsieh et al. Jun 2003 A1
20030109951 Hsiung et al. Jun 2003 A1
20030111012 Takeshima Jun 2003 A1
20030111013 Oosterlaken et al. Jun 2003 A1
20030111963 Tolmachev et al. Jun 2003 A1
20030113995 Xia et al. Jun 2003 A1
20030116087 Nguyen Jun 2003 A1
20030121608 Chen Jul 2003 A1
20030124792 Jeon et al. Jul 2003 A1
20030124818 Luo et al. Jul 2003 A1
20030124820 Johnsgard et al. Jul 2003 A1
20030124842 Hytros et al. Jul 2003 A1
20030127049 Han et al. Jul 2003 A1
20030132319 Hytros et al. Jul 2003 A1
20030133854 Tabata et al. Jul 2003 A1
20030134038 Paranjpe Jul 2003 A1
20030140851 Janakiraman et al. Jul 2003 A1
20030141527 Joo et al. Jul 2003 A1
20030141820 White et al. Jul 2003 A1
20030143328 Chen Jul 2003 A1
20030143846 Sekiya et al. Jul 2003 A1
20030145789 Bauch et al. Aug 2003 A1
20030149506 Haanstra et al. Aug 2003 A1
20030150386 Shimada Aug 2003 A1
20030153177 Tepman et al. Aug 2003 A1
20030153186 Bar-Gadda Aug 2003 A1
20030157345 Beldi et al. Aug 2003 A1
20030157432 Rottsegge Aug 2003 A1
20030157436 Manger et al. Aug 2003 A1
20030159653 Dando et al. Aug 2003 A1
20030159656 Tan Aug 2003 A1
20030162412 Chung Aug 2003 A1
20030168001 Sneh Sep 2003 A1
20030168008 Ohmi et al. Sep 2003 A1
20030168012 Tamura et al. Sep 2003 A1
20030168174 Foree Sep 2003 A1
20030168699 Honda Sep 2003 A1
20030168750 Basceri et al. Sep 2003 A1
20030168948 Yamagishi et al. Sep 2003 A1
20030170153 Bar-Gadda Sep 2003 A1
20030170583 Nakashima Sep 2003 A1
20030170945 Igeta et al. Sep 2003 A1
20030173030 Ishii et al. Sep 2003 A1
20030173490 Lappen Sep 2003 A1
20030176074 Paterson et al. Sep 2003 A1
20030178145 Anderson et al. Sep 2003 A1
20030180458 Sneh Sep 2003 A1
20030181065 O'Donnell Sep 2003 A1
20030183156 Dando Oct 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20030188682 Tois et al. Oct 2003 A1
20030188685 Wang Oct 2003 A1
20030190804 Glenn et al. Oct 2003 A1
20030192875 Bieker et al. Oct 2003 A1
20030198587 Kaloyeros Oct 2003 A1
20030200926 Dando et al. Oct 2003 A1
20030201541 Kim Oct 2003 A1
20030205096 Gehner et al. Nov 2003 A1
20030205202 Funaki et al. Nov 2003 A1
20030205237 Sakuma Nov 2003 A1
20030205327 Howald et al. Nov 2003 A1
20030207032 Ahn et al. Nov 2003 A1
20030209323 Yokogaki Nov 2003 A1
20030209326 Lee et al. Nov 2003 A1
20030209746 Horii Nov 2003 A1
20030210901 Donald et al. Nov 2003 A1
20030211735 Rossman Nov 2003 A1
20030213435 Okuda et al. Nov 2003 A1
20030213560 Wang et al. Nov 2003 A1
20030213562 Gondhalekar et al. Nov 2003 A1
20030215963 AmRhein et al. Nov 2003 A1
20030217915 Ouellet Nov 2003 A1
20030219972 Green Nov 2003 A1
20030221780 Lei et al. Dec 2003 A1
20030226840 Dalton Dec 2003 A1
20030228772 Cowans Dec 2003 A1
20030230986 Horsky et al. Dec 2003 A1
20030231698 Yamaguchi Dec 2003 A1
20030232138 Tuominen et al. Dec 2003 A1
20030232491 Yamaguchi Dec 2003 A1
20030232497 Xi et al. Dec 2003 A1
20030232511 Metzner et al. Dec 2003 A1
20030234371 Ziegler Dec 2003 A1
20040002224 Chono et al. Jan 2004 A1
20040005147 Wang et al. Jan 2004 A1
20040005753 Kostamo et al. Jan 2004 A1
20040009307 Koh et al. Jan 2004 A1
20040009679 Yeo et al. Jan 2004 A1
20040010772 McKenna et al. Jan 2004 A1
20040011504 Ku et al. Jan 2004 A1
20040013577 Ganguli et al. Jan 2004 A1
20040013818 Moon et al. Jan 2004 A1
20040015300 Ganguli et al. Jan 2004 A1
20040016637 Yang Jan 2004 A1
20040018304 Chung et al. Jan 2004 A1
20040018307 Park et al. Jan 2004 A1
20040018694 Lee et al. Jan 2004 A1
20040018723 Byun et al. Jan 2004 A1
20040018750 Sophie et al. Jan 2004 A1
20040023125 Nozawa et al. Feb 2004 A1
20040023516 Londergan et al. Feb 2004 A1
20040025786 Kontani et al. Feb 2004 A1
20040025787 Selbrede et al. Feb 2004 A1
20040026372 Takenaka et al. Feb 2004 A1
20040029052 Park et al. Feb 2004 A1
20040031564 Gottscho et al. Feb 2004 A1
20040035358 Basceri et al. Feb 2004 A1
20040036129 Forbes et al. Feb 2004 A1
20040037339 Watson et al. Feb 2004 A1
20040037675 Zinger et al. Feb 2004 A1
20040038525 Meng et al. Feb 2004 A1
20040043149 Gordon et al. Mar 2004 A1
20040043544 Asai et al. Mar 2004 A1
20040048439 Soman Mar 2004 A1
20040048452 Sugawara et al. Mar 2004 A1
20040048492 Ishikawa et al. Mar 2004 A1
20040050325 Samoilov Mar 2004 A1
20040050496 Iwai et al. Mar 2004 A1
20040052972 Schmitt Mar 2004 A1
20040056017 Renken Mar 2004 A1
20040058517 Nallan et al. Mar 2004 A1
20040062081 Drewes Apr 2004 A1
20040063289 Ohta Apr 2004 A1
20040065255 Yang et al. Apr 2004 A1
20040069226 Yoshida et al. Apr 2004 A1
20040071897 Verplancken et al. Apr 2004 A1
20040077182 Lim et al. Apr 2004 A1
20040079286 Lindfors Apr 2004 A1
20040079960 Shakuda Apr 2004 A1
20040080697 Song Apr 2004 A1
20040082171 Shin et al. Apr 2004 A1
20040083961 Basceri May 2004 A1
20040083962 Bang et al. May 2004 A1
20040083964 Ingle et al. May 2004 A1
20040083975 Tong et al. May 2004 A1
20040087141 Ramanathan et al. May 2004 A1
20040087168 Granneman et al. May 2004 A1
20040089078 Gehner et al. May 2004 A1
20040089236 Yokogawa et al. May 2004 A1
20040092073 Cabral et al. May 2004 A1
20040092120 Wicker May 2004 A1
20040093963 Gehner et al. May 2004 A1
20040094206 Ishida May 2004 A1
20040094402 Gopalraja May 2004 A1
20040095074 Ishii et al. May 2004 A1
20040099213 Adomaitis et al. May 2004 A1
20040099635 Nishikawa May 2004 A1
20040101622 Park et al. May 2004 A1
20040103914 Cheng et al. Jun 2004 A1
20040104439 Haukka et al. Jun 2004 A1
20040105738 Ahn et al. Jun 2004 A1
20040106249 Huotari Jun 2004 A1
20040112288 Whitesell Jun 2004 A1
20040115936 DePetrillo et al. Jun 2004 A1
20040118342 Cheng et al. Jun 2004 A1
20040121620 Pomarede et al. Jun 2004 A1
20040124131 Aitchison Jul 2004 A1
20040124549 Curran Jul 2004 A1
20040126213 Pelzmann et al. Jul 2004 A1
20040126929 Tang et al. Jul 2004 A1
20040126990 Ohta Jul 2004 A1
20040127069 Yamazaki et al. Jul 2004 A1
20040129211 Blonigan et al. Jul 2004 A1
20040129671 Ji et al. Jul 2004 A1
20040134429 Yamanaka Jul 2004 A1
20040137756 Li et al. Jul 2004 A1
20040142577 Sugawara et al. Jul 2004 A1
20040144311 Chen Jul 2004 A1
20040144323 Kai Jul 2004 A1
20040144980 Ahn et al. Jul 2004 A1
20040146644 Xia et al. Jul 2004 A1
20040151844 Zhang et al. Aug 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040152287 Sherrill et al. Aug 2004 A1
20040154746 Park Aug 2004 A1
20040159343 Shimbara et al. Aug 2004 A1
20040163590 Tran et al. Aug 2004 A1
20040168627 Conley et al. Sep 2004 A1
20040168742 Kim et al. Sep 2004 A1
20040168769 Matsuoka et al. Sep 2004 A1
20040169032 Murayama et al. Sep 2004 A1
20040185177 Basceri et al. Sep 2004 A1
20040187304 Chen et al. Sep 2004 A1
20040187777 Okamoto et al. Sep 2004 A1
20040187784 Sferlazzo Sep 2004 A1
20040187790 Bader Sep 2004 A1
20040187928 Ambrosina Sep 2004 A1
20040198069 Metzner et al. Oct 2004 A1
20040200499 Harvey et al. Oct 2004 A1
20040202786 Wongsenakhum et al. Oct 2004 A1
20040203251 Kawaguchi et al. Oct 2004 A1
20040206305 Choi et al. Oct 2004 A1
20040208228 Hashikura et al. Oct 2004 A1
20040209477 Buxbaum et al. Oct 2004 A1
20040211357 Gadgil Oct 2004 A1
20040212947 Nguyen Oct 2004 A1
20040213921 Leu Oct 2004 A1
20040214399 Ahn et al. Oct 2004 A1
20040214445 Shimizu et al. Oct 2004 A1
20040217217 Han et al. Nov 2004 A1
20040219793 Hishiya et al. Nov 2004 A1
20040220699 Heden et al. Nov 2004 A1
20040221807 Verghese et al. Nov 2004 A1
20040221808 Kawano Nov 2004 A1
20040223893 Tabata et al. Nov 2004 A1
20040224478 Chudzik et al. Nov 2004 A1
20040226507 Carpenter et al. Nov 2004 A1
20040226515 Yendler et al. Nov 2004 A1
20040228968 Basceri Nov 2004 A1
20040231600 Lee Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20040238523 Kuibira et al. Dec 2004 A1
20040241322 Basceri et al. Dec 2004 A1
20040241341 Lin Dec 2004 A1
20040241998 Hanson Dec 2004 A1
20040245091 Karim et al. Dec 2004 A1
20040247779 Selvamanickam et al. Dec 2004 A1
20040250600 Bevers et al. Dec 2004 A1
20040253790 Ootsuka Dec 2004 A1
20040253867 Matsumoto Dec 2004 A1
20040261492 Zarkar et al. Dec 2004 A1
20040261706 Lindfors et al. Dec 2004 A1
20040261712 Hayashi et al. Dec 2004 A1
20040261946 Endoh et al. Dec 2004 A1
20040266011 Lee et al. Dec 2004 A1
20050000428 Shero et al. Jan 2005 A1
20050001062 McCracken et al. Jan 2005 A1
20050003089 Won et al. Jan 2005 A1
20050003600 Kasai et al. Jan 2005 A1
20050003662 Jurisch et al. Jan 2005 A1
20050006682 Bae et al. Jan 2005 A1
20050008799 Tomiyasu et al. Jan 2005 A1
20050009325 Chung et al. Jan 2005 A1
20050016452 Ryu et al. Jan 2005 A1
20050016470 Kang et al. Jan 2005 A1
20050016956 Liu et al. Jan 2005 A1
20050017272 Yamashita et al. Jan 2005 A1
20050019026 Wang et al. Jan 2005 A1
20050019494 Moghadam et al. Jan 2005 A1
20050019960 Lee et al. Jan 2005 A1
20050020071 Sonobe et al. Jan 2005 A1
20050023231 Huang et al. Feb 2005 A1
20050023624 Ahn et al. Feb 2005 A1
20050026402 Jurgensen Feb 2005 A1
20050033075 Chi et al. Feb 2005 A1
20050034664 Koh et al. Feb 2005 A1
20050034674 Ono Feb 2005 A1
20050037154 Koh et al. Feb 2005 A1
20050037578 Chen et al. Feb 2005 A1
20050037610 Cha Feb 2005 A1
20050037619 Granneman et al. Feb 2005 A1
20050040144 Sellers Feb 2005 A1
20050042778 Peukert Feb 2005 A1
20050046825 Powell et al. Mar 2005 A1
20050048797 Fukazawa Mar 2005 A1
20050051093 Makino et al. Mar 2005 A1
20050051100 Chiang et al. Mar 2005 A1
20050051854 Cabral et al. Mar 2005 A1
20050054175 Bauer Mar 2005 A1
20050054198 Um Mar 2005 A1
20050054228 March Mar 2005 A1
20050056218 Sun et al. Mar 2005 A1
20050056780 Miller et al. Mar 2005 A1
20050059261 Basceri et al. Mar 2005 A1
20050059262 Yin et al. Mar 2005 A1
20050059264 Cheung Mar 2005 A1
20050061964 Nagano et al. Mar 2005 A1
20050062773 Fouet Mar 2005 A1
20050063451 Abe et al. Mar 2005 A1
20050064207 Senzaki et al. Mar 2005 A1
20050064719 Liu Mar 2005 A1
20050066893 Soininen Mar 2005 A1
20050069651 Miyoshi Mar 2005 A1
20050070123 Hirano Mar 2005 A1
20050070128 Xia et al. Mar 2005 A1
20050070729 Kiyomori et al. Mar 2005 A1
20050072357 Shero et al. Apr 2005 A1
20050074576 Chaiken et al. Apr 2005 A1
20050074983 Shinriki et al. Apr 2005 A1
20050079124 Sanderson Apr 2005 A1
20050081786 Kubista et al. Apr 2005 A1
20050085090 Mui et al. Apr 2005 A1
20050090123 Nishimura et al. Apr 2005 A1
20050092247 Schmidt May 2005 A1
20050092249 Kilpela et al. May 2005 A1
20050092439 Keeton et al. May 2005 A1
20050092733 Ito et al. May 2005 A1
20050095770 Kumagai et al. May 2005 A1
20050095779 Park et al. May 2005 A1
20050095859 Chen et al. May 2005 A1
20050098107 Du Bois et al. May 2005 A1
20050100669 Kools et al. May 2005 A1
20050101154 Huang May 2005 A1
20050101843 Quinn et al. May 2005 A1
20050104112 Haukka et al. May 2005 A1
20050106762 Chakrapani et al. May 2005 A1
20050106893 Wilk May 2005 A1
20050107627 Dussarrat et al. May 2005 A1
20050109461 Sun May 2005 A1
20050110069 Kil et al. May 2005 A1
20050112282 Gordon et al. May 2005 A1
20050115946 Shim et al. Jun 2005 A1
20050118804 Byun et al. Jun 2005 A1
20050118837 Todd Jun 2005 A1
20050120805 Lane Jun 2005 A1
20050120962 Ushioda et al. Jun 2005 A1
20050121145 Du Bois et al. Jun 2005 A1
20050123690 Derderian et al. Jun 2005 A1
20050130427 Seok-Jun Jun 2005 A1
20050132957 El-Raghy Jun 2005 A1
20050133160 Kennedy et al. Jun 2005 A1
20050133161 Carpenter et al. Jun 2005 A1
20050133166 Satitpunwaycha et al. Jun 2005 A1
20050136188 Chang Jun 2005 A1
20050136657 Yokoi et al. Jun 2005 A1
20050139160 Lei et al. Jun 2005 A1
20050141591 Sakano Jun 2005 A1
20050142361 Nakanishi Jun 2005 A1
20050145338 Park et al. Jul 2005 A1
20050148162 Chen et al. Jul 2005 A1
20050150601 Srivastava Jul 2005 A1
20050151184 Lee et al. Jul 2005 A1
20050153571 Senzaki Jul 2005 A1
20050153573 Okudaira et al. Jul 2005 A1
20050160987 Kasai et al. Jul 2005 A1
20050161434 Sugawara et al. Jul 2005 A1
20050164469 Haupt Jul 2005 A1
20050170306 Oosterlaken et al. Aug 2005 A1
20050172895 Kijima et al. Aug 2005 A1
20050173003 Laverdiere et al. Aug 2005 A1
20050175789 Helms Aug 2005 A1
20050178333 Loke et al. Aug 2005 A1
20050181535 Yun et al. Aug 2005 A1
20050181555 Haukka et al. Aug 2005 A1
20050183827 White et al. Aug 2005 A1
20050186688 Basceri Aug 2005 A1
20050187647 Wang et al. Aug 2005 A1
20050191828 Al-Bayati et al. Sep 2005 A1
20050193948 Oohirabaru et al. Sep 2005 A1
20050193952 Goodman et al. Sep 2005 A1
20050199013 Vandroux et al. Sep 2005 A1
20050199342 Shajii et al. Sep 2005 A1
20050201908 Nakamura et al. Sep 2005 A1
20050208217 Shinriki et al. Sep 2005 A1
20050208219 Basceri Sep 2005 A1
20050208718 Lim et al. Sep 2005 A1
20050211167 Gunji Sep 2005 A1
20050211384 Hayashi Sep 2005 A1
20050212119 Shero Sep 2005 A1
20050214457 Schmitt et al. Sep 2005 A1
20050214458 Meiere Sep 2005 A1
20050208778 Li Oct 2005 A1
20050218462 Ahn et al. Oct 2005 A1
20050221021 Strang Oct 2005 A1
20050221618 AmRhein et al. Oct 2005 A1
20050223982 Park et al. Oct 2005 A1
20050223994 Blomiley et al. Oct 2005 A1
20050227502 Schmitt et al. Oct 2005 A1
20050229848 Shinriki Oct 2005 A1
20050229849 Silvetti et al. Oct 2005 A1
20050229972 Hoshi et al. Oct 2005 A1
20050233477 Yamazaki et al. Oct 2005 A1
20050238807 Lin et al. Oct 2005 A1
20050241176 Shero et al. Nov 2005 A1
20050241763 Huang et al. Nov 2005 A1
20050241765 Dhindsa et al. Nov 2005 A1
20050245058 Lee et al. Nov 2005 A1
20050249876 Kawahara et al. Nov 2005 A1
20050250340 Chen et al. Nov 2005 A1
20050251990 Choi Nov 2005 A1
20050252447 Zhao et al. Nov 2005 A1
20050252449 Nguyen et al. Nov 2005 A1
20050252455 Moriya et al. Nov 2005 A1
20050253061 Cameron et al. Nov 2005 A1
20050255257 Choi et al. Nov 2005 A1
20050255327 Chaney et al. Nov 2005 A1
20050258280 Goto et al. Nov 2005 A1
20050260347 Narwankar et al. Nov 2005 A1
20050260837 Walther et al. Nov 2005 A1
20050260850 Loke Nov 2005 A1
20050263072 Balasubramanian et al. Dec 2005 A1
20050263075 Wang et al. Dec 2005 A1
20050263719 Ohdaira et al. Dec 2005 A1
20050263932 Heugel Dec 2005 A1
20050268856 Miller et al. Dec 2005 A1
20050271812 Myo et al. Dec 2005 A1
20050271813 Kher et al. Dec 2005 A1
20050272247 Ikeda et al. Dec 2005 A1
20050274323 Seidel et al. Dec 2005 A1
20050276928 Okumura et al. Dec 2005 A1
20050277271 Beintner Dec 2005 A1
20050282101 Adachi Dec 2005 A1
20050282350 Chou et al. Dec 2005 A1
20050284573 Egley et al. Dec 2005 A1
20050284991 Saez Dec 2005 A1
20050285097 Shang et al. Dec 2005 A1
20050285208 Ren et al. Dec 2005 A1
20050287725 Kitagawa Dec 2005 A1
20050287771 Seamons et al. Dec 2005 A1
20060000411 Seo Jan 2006 A1
20060006538 Allman et al. Jan 2006 A1
20060008997 Jang et al. Jan 2006 A1
20060009044 Igeta et al. Jan 2006 A1
20060013674 Elliott et al. Jan 2006 A1
20060013946 Park et al. Jan 2006 A1
20060014384 Lee et al. Jan 2006 A1
20060014397 Seamons et al. Jan 2006 A1
20060016783 Wu et al. Jan 2006 A1
20060019033 Muthukrishnan et al. Jan 2006 A1
20060019495 Marcadal et al. Jan 2006 A1
20060019502 Park et al. Jan 2006 A1
20060021572 Wolden Feb 2006 A1
20060021573 Monsma et al. Feb 2006 A1
20060021703 Umotoy et al. Feb 2006 A1
20060024439 Tuominen et al. Feb 2006 A2
20060026314 Franchuk et al. Feb 2006 A1
20060032443 Hasebe et al. Feb 2006 A1
20060040054 Pearlstein et al. Feb 2006 A1
20060040508 Ji Feb 2006 A1
20060046518 Hill et al. Mar 2006 A1
20060048710 Horiguchi et al. Mar 2006 A1
20060051505 Kortshagen et al. Mar 2006 A1
20060051520 Behle et al. Mar 2006 A1
20060051925 Ahn et al. Mar 2006 A1
20060057799 Horiguchi et al. Mar 2006 A1
20060057828 Omura Mar 2006 A1
20060057858 Chung et al. Mar 2006 A1
20060060930 Metz et al. Mar 2006 A1
20060062910 Meiere Mar 2006 A1
20060063346 Lee et al. Mar 2006 A1
20060068097 Yamasaki et al. Mar 2006 A1
20060068104 Ishizaka Mar 2006 A1
20060068121 Lee et al. Mar 2006 A1
20060068125 Radhakrishnan Mar 2006 A1
20060081558 Collins et al. Apr 2006 A1
20060087638 Hirayanagi Apr 2006 A1
20060090702 Koo et al. May 2006 A1
20060093756 Rajagopalan et al. May 2006 A1
20060094236 Elkins et al. May 2006 A1
20060096540 Choi May 2006 A1
20060097220 Kim et al. May 2006 A1
20060097305 Lee May 2006 A1
20060099782 Ritenour May 2006 A1
20060102968 Bojarczuk et al. May 2006 A1
20060105566 Waldfried et al. May 2006 A1
20060107898 Blomberg May 2006 A1
20060108221 Goodwin et al. May 2006 A1
20060108331 Nozawa et al. May 2006 A1
20060110930 Senzaki May 2006 A1
20060110934 Fukuchi May 2006 A1
20060113038 Gondhalekar et al. Jun 2006 A1
20060113675 Chang et al. Jun 2006 A1
20060113806 Tsuji et al. Jun 2006 A1
20060115589 Vukovic Jun 2006 A1
20060118240 Holber et al. Jun 2006 A1
20060118241 Ohmi et al. Jun 2006 A1
20060125099 Gordon et al. Jun 2006 A1
20060127067 Wintenberger et al. Jun 2006 A1
20060128142 Whelan et al. Jun 2006 A1
20060128168 Ahn et al. Jun 2006 A1
20060130751 Volfovski et al. Jun 2006 A1
20060130767 Herchen Jun 2006 A1
20060133955 Peters Jun 2006 A1
20060137608 Choi et al. Jun 2006 A1
20060137609 Puchacz et al. Jun 2006 A1
20060141155 Gordon et al. Jun 2006 A1
20060141758 Naumann et al. Jun 2006 A1
20060144820 Sawin et al. Jul 2006 A1
20060147626 Blomberg Jul 2006 A1
20060148151 Murthy et al. Jul 2006 A1
20060148180 Ahn et al. Jul 2006 A1
20060151117 Kasanami et al. Jul 2006 A1
20060154424 Yang et al. Jul 2006 A1
20060156979 Thakur et al. Jul 2006 A1
20060156981 Fondurulia Jul 2006 A1
20060162658 Weidman Jul 2006 A1
20060162661 Jung et al. Jul 2006 A1
20060162863 Kim et al. Jul 2006 A1
20060163612 Kouvetakis et al. Jul 2006 A1
20060163683 Roth et al. Jul 2006 A1
20060165892 Weidman Jul 2006 A1
20060166428 Kamioka Jul 2006 A1
20060172531 Lin et al. Aug 2006 A1
20060175669 Kim et al. Aug 2006 A1
20060176928 Nakamura et al. Aug 2006 A1
20060177855 Utermohlen Aug 2006 A1
20060182885 Lei et al. Aug 2006 A1
20060185589 Zehavi et al. Aug 2006 A1
20060188360 Bonora et al. Aug 2006 A1
20060191555 Yoshida et al. Aug 2006 A1
20060193979 Meiere et al. Aug 2006 A1
20060193980 Hasegawa Aug 2006 A1
20060196418 Lindfors et al. Sep 2006 A1
20060196420 Ushakov et al. Sep 2006 A1
20060196421 Ronsse et al. Sep 2006 A1
20060199357 Wan et al. Sep 2006 A1
20060205194 Bauer Sep 2006 A1
20060205223 Smayling Sep 2006 A1
20060205231 Chou et al. Sep 2006 A1
20060208215 Metzner et al. Sep 2006 A1
20060211224 Matsuda Sep 2006 A1
20060211243 Ishizaka et al. Sep 2006 A1
20060211259 Maes Sep 2006 A1
20060213437 Ishizaka et al. Sep 2006 A1
20060213439 Ishizaka Sep 2006 A1
20060213441 Kobrin et al. Sep 2006 A1
20060216942 Kim et al. Sep 2006 A1
20060219169 Chen et al. Oct 2006 A1
20060219361 Wang et al. Oct 2006 A1
20060219363 Matsumoto et al. Oct 2006 A1
20060223301 Vanhaelemeersch et al. Oct 2006 A1
20060223337 Ahn et al. Oct 2006 A1
20060226117 Bertram et al. Oct 2006 A1
20060228496 Choi Oct 2006 A1
20060228863 Zhang et al. Oct 2006 A1
20060228888 Lee et al. Oct 2006 A1
20060228898 Wajda et al. Oct 2006 A1
20060236934 Choi et al. Oct 2006 A1
20060240187 Weidman Oct 2006 A1
20060240574 Yoshie Oct 2006 A1
20060240662 Conley et al. Oct 2006 A1
20060247404 Todd Nov 2006 A1
20060249175 Nowak et al. Nov 2006 A1
20060249253 Dando Nov 2006 A1
20060251827 Nowak Nov 2006 A1
20060252228 Jeng Nov 2006 A1
20060252244 Vaartstra et al. Nov 2006 A1
20060252351 Kundracik Nov 2006 A1
20060254514 Kang et al. Nov 2006 A1
20060257563 Doh et al. Nov 2006 A1
20060257584 Derderian et al. Nov 2006 A1
20060258078 Lee et al. Nov 2006 A1
20060258173 Xiao et al. Nov 2006 A1
20060260545 Ramaswamy et al. Nov 2006 A1
20060263522 Byun Nov 2006 A1
20060263540 Ramaswamy et al. Nov 2006 A1
20060264060 Ramaswamy et al. Nov 2006 A1
20060264066 Bartholomew Nov 2006 A1
20060266289 Verghese et al. Nov 2006 A1
20060269690 Watanabe et al. Nov 2006 A1
20060269692 Balseanu Nov 2006 A1
20060275710 Yamazaki et al. Dec 2006 A1
20060275933 Du Bois et al. Dec 2006 A1
20060278524 Stowell Dec 2006 A1
20060283629 Kikuchi et al. Dec 2006 A1
20060286774 Singh et al. Dec 2006 A1
20060286775 Singh et al. Dec 2006 A1
20060286817 Kato et al. Dec 2006 A1
20060286818 Wang et al. Dec 2006 A1
20060286819 Seutter Dec 2006 A1
20060291982 Tanaka Dec 2006 A1
20060292310 Le et al. Dec 2006 A1
20060292845 Chiang et al. Dec 2006 A1
20070004204 Fukazawa et al. Jan 2007 A1
20070006806 Imai Jan 2007 A1
20070010072 Bailey et al. Jan 2007 A1
20070012402 Sneh Jan 2007 A1
20070014919 Hamalainen et al. Jan 2007 A1
20070020160 Berkman et al. Jan 2007 A1
20070020167 Han et al. Jan 2007 A1
20070020830 Speranza Jan 2007 A1
20070020953 Tsai et al. Jan 2007 A1
20070022954 Iizuka et al. Feb 2007 A1
20070026148 Arai et al. Feb 2007 A1
20070026162 Wei et al. Feb 2007 A1
20070026540 Nooten et al. Feb 2007 A1
20070026654 Huotari et al. Feb 2007 A1
20070028842 Inagawa et al. Feb 2007 A1
20070031598 Okuyama et al. Feb 2007 A1
20070031599 Gschwandtner et al. Feb 2007 A1
20070032004 Ramaswamy et al. Feb 2007 A1
20070032045 Kasahara et al. Feb 2007 A1
20070032054 Ramaswamy et al. Feb 2007 A1
20070032082 Ramaswamy et al. Feb 2007 A1
20070032095 Ramaswamy et al. Feb 2007 A1
20070034477 Inui Feb 2007 A1
20070037343 Colombo et al. Feb 2007 A1
20070037412 Dip et al. Feb 2007 A1
20070042117 Kupurao et al. Feb 2007 A1
20070042581 Sano et al. Feb 2007 A1
20070044716 Tetsuka et al. Mar 2007 A1
20070045244 Lee et al. Mar 2007 A1
20070047384 McLaughlin et al. Mar 2007 A1
20070048953 Gealy et al. Mar 2007 A1
20070049053 Mahajani Mar 2007 A1
20070051299 Ong et al. Mar 2007 A1
20070051312 Sneh Mar 2007 A1
20070051471 Kawaguchi et al. Mar 2007 A1
20070054049 Lindfors et al. Mar 2007 A1
20070054499 Jang Mar 2007 A1
20070056843 Ye et al. Mar 2007 A1
20070056850 Ye et al. Mar 2007 A1
20070059948 Metzner et al. Mar 2007 A1
20070062439 Wada et al. Mar 2007 A1
20070062453 Ishikawa Mar 2007 A1
20070062646 Ogawa et al. Mar 2007 A1
20070065578 McDougall Mar 2007 A1
20070065597 Kaido et al. Mar 2007 A1
20070066010 Ando Mar 2007 A1
20070066038 Sadjadi et al. Mar 2007 A1
20070066079 Kolster et al. Mar 2007 A1
20070066084 Wajda et al. Mar 2007 A1
20070074665 Chacin et al. Apr 2007 A1
20070077355 Chacin et al. Apr 2007 A1
20070082132 Shinriki Apr 2007 A1
20070082500 Norman et al. Apr 2007 A1
20070082508 Chiang et al. Apr 2007 A1
20070084405 Kim Apr 2007 A1
20070087296 Kim et al. Apr 2007 A1
20070087515 Yieh et al. Apr 2007 A1
20070087579 Kitayama et al. Apr 2007 A1
20070089670 Ikedo Apr 2007 A1
20070092696 Tsukatani et al. Apr 2007 A1
20070095283 Galewski May 2007 A1
20070095286 Baek et al. May 2007 A1
20070096194 Streck et al. May 2007 A1
20070098527 Hall et al. May 2007 A1
20070107845 Ishizawa et al. May 2007 A1
20070111030 Nakano et al. May 2007 A1
20070111470 Smythe May 2007 A1
20070111545 Lee et al. May 2007 A1
20070113788 Nozawa et al. May 2007 A1
20070116872 Li et al. May 2007 A1
20070116873 Li et al. May 2007 A1
20070116887 Faguet May 2007 A1
20070116888 Faguet May 2007 A1
20070119370 Ma et al. May 2007 A1
20070120275 Liu May 2007 A1
20070123037 Lee et al. May 2007 A1
20070123060 Rahtu May 2007 A1
20070123189 Saito et al. May 2007 A1
20070125762 Cui et al. Jun 2007 A1
20070128538 Fairbairn et al. Jun 2007 A1
20070128570 Goto et al. Jun 2007 A1
20070128858 Haukka et al. Jun 2007 A1
20070128876 Fukiage Jun 2007 A1
20070128888 Goto et al. Jun 2007 A1
20070129621 Kellogg et al. Jun 2007 A1
20070131168 Gomi et al. Jun 2007 A1
20070134821 Thakur et al. Jun 2007 A1
20070134919 Gunji et al. Jun 2007 A1
20070134942 Ahn et al. Jun 2007 A1
20070137794 Qiu et al. Jun 2007 A1
20070144442 Migita Jun 2007 A1
20070146621 Yeom Jun 2007 A1
20070148347 Hatanpaa et al. Jun 2007 A1
20070148350 Rahtu Jun 2007 A1
20070148990 Deboer et al. Jun 2007 A1
20070153625 Lundgren et al. Jun 2007 A1
20070155138 Tomasini et al. Jul 2007 A1
20070157466 Kida et al. Jul 2007 A1
20070157683 Li Jul 2007 A1
20070158026 Amikura Jul 2007 A1
20070163440 Kim et al. Jul 2007 A1
20070163490 Habel et al. Jul 2007 A1
20070163625 Lee Jul 2007 A1
20070163996 Horiguchi Jul 2007 A1
20070166457 Yamoto et al. Jul 2007 A1
20070166459 Chang et al. Jul 2007 A1
20070166966 Todd et al. Jul 2007 A1
20070166999 Vaarstra Jul 2007 A1
20070170372 Horsky Jul 2007 A1
20070173071 Afzali-Ardakani et al. Jul 2007 A1
20070175393 Nishimura et al. Aug 2007 A1
20070175397 Tomiyasu et al. Aug 2007 A1
20070178235 Yamada et al. Aug 2007 A1
20070181066 Cadwell et al. Aug 2007 A1
20070184179 Waghray et al. Aug 2007 A1
20070186849 Furuya Aug 2007 A1
20070186952 Honda et al. Aug 2007 A1
20070187362 Nakagawa et al. Aug 2007 A1
20070187363 Oka et al. Aug 2007 A1
20070190266 Fu et al. Aug 2007 A1
20070190362 Weidman Aug 2007 A1
20070190744 Hiraiwa et al. Aug 2007 A1
20070190782 Park Aug 2007 A1
20070199510 Weiner et al. Aug 2007 A1
20070202678 Plombon et al. Aug 2007 A1
20070205788 Natsuhara et al. Sep 2007 A1
20070207275 Nowak et al. Sep 2007 A1
20070209588 Li et al. Sep 2007 A1
20070209590 Li Sep 2007 A1
20070210890 Hsu et al. Sep 2007 A1
20070212484 Li Sep 2007 A1
20070212811 Hanawa et al. Sep 2007 A1
20070212827 Girotra et al. Sep 2007 A1
20070215048 Suzuki et al. Sep 2007 A1
20070215278 Furuse et al. Sep 2007 A1
20070215580 Koshiishi et al. Sep 2007 A1
20070218200 Suzuki et al. Sep 2007 A1
20070218705 Matsuki et al. Sep 2007 A1
20070222131 Fukumoto et al. Sep 2007 A1
20070224777 Hamelin Sep 2007 A1
20070224833 Morisada et al. Sep 2007 A1
20070227665 Matsumoto et al. Oct 2007 A1
20070231488 Von Kaenel Oct 2007 A1
20070232031 Singh et al. Oct 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070232501 Tonomura Oct 2007 A1
20070234955 Suzuki et al. Oct 2007 A1
20070237697 Clark Oct 2007 A1
20070237698 Clark Oct 2007 A1
20070237699 Clark Oct 2007 A1
20070238305 Delgadino et al. Oct 2007 A1
20070241688 DeVancentis et al. Oct 2007 A1
20070243317 Du Bois et al. Oct 2007 A1
20070247075 Kim et al. Oct 2007 A1
20070248767 Okura Oct 2007 A1
20070248832 Maeda et al. Oct 2007 A1
20070249131 Allen et al. Oct 2007 A1
20070251444 Gros-Jean et al. Nov 2007 A1
20070251456 Herchen et al. Nov 2007 A1
20070252233 Yamazaki et al. Nov 2007 A1
20070252244 Srividya et al. Nov 2007 A1
20070252532 DeVancentis et al. Nov 2007 A1
20070254414 Miyanami Nov 2007 A1
20070258506 Schwagerman et al. Nov 2007 A1
20070258855 Turcot et al. Nov 2007 A1
20070259778 Spencer et al. Nov 2007 A1
20070261868 Gross Nov 2007 A1
20070264427 Shinriki et al. Nov 2007 A1
20070264793 Oh et al. Nov 2007 A1
20070264807 Leone et al. Nov 2007 A1
20070266932 Hiramatsu Nov 2007 A1
20070266945 Shuto et al. Nov 2007 A1
20070269983 Sneh Nov 2007 A1
20070275166 Thridandam et al. Nov 2007 A1
20070277735 Mokhesi et al. Dec 2007 A1
20070281082 Mokhesi et al. Dec 2007 A1
20070281105 Mokhesi et al. Dec 2007 A1
20070281106 Lubomirsky et al. Dec 2007 A1
20070281496 Ingle et al. Dec 2007 A1
20070286957 Suzuki et al. Dec 2007 A1
20070289534 Lubomirsky et al. Dec 2007 A1
20070292974 Mizuno et al. Dec 2007 A1
20070295602 Tiller et al. Dec 2007 A1
20070298362 Rocha-Alvarez et al. Dec 2007 A1
20070298565 Nieh et al. Dec 2007 A1
20080003425 Spencer et al. Jan 2008 A1
20080003824 Padhi et al. Jan 2008 A1
20080003838 Haukka et al. Jan 2008 A1
20080006208 Ueno et al. Jan 2008 A1
20080018004 Steidl Jan 2008 A1
20080020591 Balseanu et al. Jan 2008 A1
20080020593 Wang et al. Jan 2008 A1
20080023436 Gros-Jean et al. Jan 2008 A1
20080026162 Dickey et al. Jan 2008 A1
20080026574 Brcka Jan 2008 A1
20080026597 Munro et al. Jan 2008 A1
20080029790 Ahn et al. Feb 2008 A1
20080031708 Bonora et al. Feb 2008 A1
20080032514 Sano et al. Feb 2008 A1
20080035055 Dip et al. Feb 2008 A1
20080035306 White et al. Feb 2008 A1
20080035607 O'Hara et al. Feb 2008 A1
20080036354 Letz et al. Feb 2008 A1
20080038485 Fukazawa et al. Feb 2008 A1
20080038934 Vrtis et al. Feb 2008 A1
20080042165 Sugizaki Feb 2008 A1
20080042192 Park et al. Feb 2008 A1
20080043803 Bandoh Feb 2008 A1
20080044932 Samoilov et al. Feb 2008 A1
20080044938 England et al. Feb 2008 A1
20080050536 Aing et al. Feb 2008 A1
20080050538 Hirata Feb 2008 A1
20080054332 Kim et al. Mar 2008 A1
20080054813 Espiau et al. Mar 2008 A1
20080056860 Natume Mar 2008 A1
20080057659 Forbes et al. Mar 2008 A1
20080061667 Gaertner et al. Mar 2008 A1
20080063798 Kher et al. Mar 2008 A1
20080066778 Matsushita et al. Mar 2008 A1
20080067146 Onishi et al. Mar 2008 A1
20080069951 Chacin et al. Mar 2008 A1
20080069955 Hong et al. Mar 2008 A1
20080072821 Dalton et al. Mar 2008 A1
20080075562 Maria et al. Mar 2008 A1
20080075838 Inoue et al. Mar 2008 A1
20080075881 Won et al. Mar 2008 A1
20080076070 Koh et al. Mar 2008 A1
20080076266 Fukazawa et al. Mar 2008 A1
20080076281 Ciancanelli et al. Mar 2008 A1
20080081104 Hasebe et al. Apr 2008 A1
20080081113 Clark Apr 2008 A1
20080081121 Morita et al. Apr 2008 A1
20080083710 Chen et al. Apr 2008 A1
20080083948 Lin et al. Apr 2008 A1
20080085226 Fondurulia et al. Apr 2008 A1
20080085610 Wang et al. Apr 2008 A1
20080087218 Shimada et al. Apr 2008 A1
20080087642 Sawin et al. Apr 2008 A1
20080087890 Ahn et al. Apr 2008 A1
20080092815 Chen et al. Apr 2008 A1
20080092821 Otsuka et al. Apr 2008 A1
20080099147 Myo et al. May 2008 A1
20080102203 Wu May 2008 A1
20080102205 Barry et al. May 2008 A1
20080102208 Wu et al. May 2008 A1
20080102603 Kobayashi et al. May 2008 A1
20080102630 Saito May 2008 A1
20080105276 Yeh et al. May 2008 A1
20080110401 Fujikawa et al. May 2008 A1
20080110568 Son et al. May 2008 A1
20080113094 Casper May 2008 A1
20080113096 Mahajani May 2008 A1
20080113097 Mahajani et al. May 2008 A1
20080118334 Bonora May 2008 A1
20080121177 Bang et al. May 2008 A1
20080121626 Thomas et al. May 2008 A1
20080121962 Forbes et al. May 2008 A1
20080124197 van der Meulen et al. May 2008 A1
20080124908 Forbes et al. May 2008 A1
20080124945 Miya et al. May 2008 A1
20080124946 Xiao et al. May 2008 A1
20080128726 Sakata et al. Jun 2008 A1
20080129209 Deakins et al. Jun 2008 A1
20080132046 Walther Jun 2008 A1
20080133154 Krauss et al. Jun 2008 A1
20080134887 Sherer Jun 2008 A1
20080135516 Yokogawa et al. Jun 2008 A1
20080135936 Nakajima Jun 2008 A1
20080142046 Johnson et al. Jun 2008 A1
20080142483 Hua Jun 2008 A1
20080146033 Park Jun 2008 A1
20080149031 Chu et al. Jun 2008 A1
20080149593 Bai et al. Jun 2008 A1
20080152463 Chidambaram et al. Jun 2008 A1
20080153308 Ogawa et al. Jun 2008 A1
20080153311 Padhi et al. Jun 2008 A1
20080156769 Weiner et al. Jul 2008 A1
20080157157 Tonomura Jul 2008 A1
20080157212 Lavoie et al. Jul 2008 A1
20080157365 Ott et al. Jul 2008 A1
20080173237 Collins Jul 2008 A1
20080173238 Nakashima et al. Jul 2008 A1
20080173240 Furukawahara Jul 2008 A1
20080173326 Gu et al. Jul 2008 A1
20080176335 Alberti et al. Jul 2008 A1
20080176375 Erben et al. Jul 2008 A1
20080176412 Komeda Jul 2008 A1
20080178608 Tandou et al. Jul 2008 A1
20080178805 Paterson et al. Jul 2008 A1
20080179104 Zhang Jul 2008 A1
20080179291 Collins et al. Jul 2008 A1
20080179715 Coppa Jul 2008 A1
20080182075 Chopra Jul 2008 A1
20080182390 Lemmi et al. Jul 2008 A1
20080182411 Elers Jul 2008 A1
20080191193 Li et al. Aug 2008 A1
20080193643 Dip Aug 2008 A1
20080194088 Srinivasan et al. Aug 2008 A1
20080194105 Dominguez et al. Aug 2008 A1
20080194113 Kim et al. Aug 2008 A1
20080194169 Sterling et al. Aug 2008 A1
20080199977 Weigel et al. Aug 2008 A1
20080202416 Provencher Aug 2008 A1
20080202689 Kim Aug 2008 A1
20080203487 Hohage et al. Aug 2008 A1
20080205483 Rempe et al. Aug 2008 A1
20080210162 Yonebayashi Sep 2008 A1
20080210278 Orii et al. Sep 2008 A1
20080211423 Shinmen et al. Sep 2008 A1
20080211526 Shinma Sep 2008 A1
20080213696 Meeus et al. Sep 2008 A1
20080214003 Xia et al. Sep 2008 A1
20080216077 Emani et al. Sep 2008 A1
20080216742 Takebayashi Sep 2008 A1
20080216958 Goto et al. Sep 2008 A1
20080220619 Matsushita et al. Sep 2008 A1
20080223130 Snell et al. Sep 2008 A1
20080223725 Han et al. Sep 2008 A1
20080224240 Ahn et al. Sep 2008 A1
20080228306 Yetter et al. Sep 2008 A1
20080229811 Zhao et al. Sep 2008 A1
20080230352 Hirata Sep 2008 A1
20080230371 McHugh Sep 2008 A1
20080233288 Clark Sep 2008 A1
20080237572 Chui et al. Oct 2008 A1
20080237604 Alshareef et al. Oct 2008 A1
20080241052 Hooper et al. Oct 2008 A1
20080241384 Jeong Oct 2008 A1
20080241387 Keto Oct 2008 A1
20080242116 Clark Oct 2008 A1
20080246101 Li et al. Oct 2008 A1
20080248310 Kim et al. Oct 2008 A1
20080248597 Qin et al. Oct 2008 A1
20080257102 Packer Oct 2008 A1
20080257494 Hayashi et al. Oct 2008 A1
20080260345 Mertesdorf et al. Oct 2008 A1
20080260963 Yoon et al. Oct 2008 A1
20080261405 Yang et al. Oct 2008 A1
20080261413 Mahajani Oct 2008 A1
20080264337 Sano et al. Oct 2008 A1
20080264443 Shrinivasan et al. Oct 2008 A1
20080267598 Nakamura Oct 2008 A1
20080268171 Ma et al. Oct 2008 A1
20080268635 Yu et al. Oct 2008 A1
20080272424 Kim et al. Nov 2008 A1
20080274369 Lee et al. Nov 2008 A1
20080276864 Koelmel et al. Nov 2008 A1
20080277647 Kouvetakis et al. Nov 2008 A1
20080277656 Park et al. Nov 2008 A1
20080277715 Ohmi et al. Nov 2008 A1
20080282970 Heys et al. Nov 2008 A1
20080283962 Dyer Nov 2008 A1
20080286463 Tiner et al. Nov 2008 A1
20080289574 Jacobs et al. Nov 2008 A1
20080289650 Arena Nov 2008 A1
20080289767 Tandou et al. Nov 2008 A1
20080291964 Shrimpling Nov 2008 A1
20080293198 Kojima et al. Nov 2008 A1
20080295872 Riker et al. Dec 2008 A1
20080298945 Cox Dec 2008 A1
20080299326 Fukazawa Dec 2008 A1
20080299758 Harada et al. Dec 2008 A1
20080302303 Choi et al. Dec 2008 A1
20080303744 Hirayama et al. Dec 2008 A1
20080305014 Honda Dec 2008 A1
20080305246 Choi et al. Dec 2008 A1
20080305443 Nakamura Dec 2008 A1
20080314319 Hamano et al. Dec 2008 A1
20080314892 Graham Dec 2008 A1
20080315292 Ji et al. Dec 2008 A1
20080317972 Hendriks Dec 2008 A1
20090000550 Tran et al. Jan 2009 A1
20090000551 Choi et al. Jan 2009 A1
20090000769 Lin et al. Jan 2009 A1
20090004875 Shen et al. Jan 2009 A1
20090011145 Yun Jan 2009 A1
20090011150 Jeon et al. Jan 2009 A1
20090011608 Nabatame Jan 2009 A1
20090014879 Park et al. Jan 2009 A1
20090017227 Fu et al. Jan 2009 A1
20090017631 Bencher Jan 2009 A1
20090017733 Takahashi et al. Jan 2009 A1
20090020072 Mizunaga et al. Jan 2009 A1
20090023229 Matsushita Jan 2009 A1
20090029503 Arai Jan 2009 A1
20090029528 Sanchez et al. Jan 2009 A1
20090029564 Yamashita et al. Jan 2009 A1
20090031954 Nishikido et al. Feb 2009 A1
20090033907 Watson Feb 2009 A1
20090035463 Dip Feb 2009 A1
20090035584 Tran et al. Feb 2009 A1
20090035927 Olsen et al. Feb 2009 A1
20090035946 Pierreux et al. Feb 2009 A1
20090035947 Horii Feb 2009 A1
20090036292 Sun et al. Feb 2009 A1
20090039475 Shioya Feb 2009 A1
20090041952 Yoon et al. Feb 2009 A1
20090041984 Mayers et al. Feb 2009 A1
20090042344 Ye et al. Feb 2009 A1
20090042408 Maeda Feb 2009 A1
20090045749 Ganachev et al. Feb 2009 A1
20090045829 Awazu Feb 2009 A1
20090047426 Park et al. Feb 2009 A1
20090047433 Kim et al. Feb 2009 A1
20090047447 Sawin et al. Feb 2009 A1
20090050621 Awazu Feb 2009 A1
20090052498 Halpin et al. Feb 2009 A1
20090053023 Wakabayashi Feb 2009 A1
20090053900 Nozawa et al. Feb 2009 A1
20090053906 Miya et al. Feb 2009 A1
20090056112 Kobayashi Mar 2009 A1
20090056629 Katz et al. Mar 2009 A1
20090057269 Katz et al. Mar 2009 A1
20090060480 Herchen Mar 2009 A1
20090061083 Chiang et al. Mar 2009 A1
20090061644 Chiang et al. Mar 2009 A1
20090061647 Mallick et al. Mar 2009 A1
20090075490 Dussarrat Mar 2009 A1
20090075491 Liu et al. Mar 2009 A1
20090080136 Nagayama et al. Mar 2009 A1
20090081879 Sukekawa et al. Mar 2009 A1
20090084317 Wu Apr 2009 A1
20090085156 Dewey et al. Apr 2009 A1
20090087585 Lee et al. Apr 2009 A1
20090087964 Maeda et al. Apr 2009 A1
20090087967 Todd Apr 2009 A1
20090090382 Morisada Apr 2009 A1
20090093080 Choi et al. Apr 2009 A1
20090093094 Ye et al. Apr 2009 A1
20090093100 Xia et al. Apr 2009 A1
20090095221 Tam et al. Apr 2009 A1
20090104351 Kakegawa Apr 2009 A1
20090104594 Webb Apr 2009 A1
20090104789 Mallick et al. Apr 2009 A1
20090107404 Ogliari et al. Apr 2009 A1
20090108308 Yang et al. Apr 2009 A1
20090112458 Nakai Apr 2009 A1
20090115064 Sandhu et al. May 2009 A1
20090116936 Marubayashi et al. May 2009 A1
20090117717 Tomasini et al. May 2009 A1
20090117723 Kim et al. May 2009 A1
20090117746 Masuda May 2009 A1
20090120580 Kagoshima et al. May 2009 A1
20090122293 Shibazaki May 2009 A1
20090122458 Lischer et al. May 2009 A1
20090124131 Breunsbach et al. May 2009 A1
20090130331 Asai May 2009 A1
20090130859 Itatani et al. May 2009 A1
20090136665 Choi et al. May 2009 A1
20090136668 Gregg et al. May 2009 A1
20090136683 Fukasawa et al. May 2009 A1
20090137055 Bognar May 2009 A1
20090139657 Lee et al. Jun 2009 A1
20090142905 Yamazaki Jun 2009 A1
20090142933 Yajima et al. Jun 2009 A1
20090142935 Fukazawa et al. Jun 2009 A1
20090146322 Weling et al. Jun 2009 A1
20090147819 Goodman et al. Jun 2009 A1
20090155488 Nakano et al. Jun 2009 A1
20090156015 Park et al. Jun 2009 A1
20090156017 Fukazawa et al. Jun 2009 A1
20090159000 Aggarwal et al. Jun 2009 A1
20090159002 Bera et al. Jun 2009 A1
20090159424 Liu et al. Jun 2009 A1
20090162647 Sun et al. Jun 2009 A1
20090162996 Ramaswarmy et al. Jun 2009 A1
20090163038 Miyoshi Jun 2009 A1
20090165715 Oh Jul 2009 A1
20090165721 Pitney et al. Jul 2009 A1
20090165722 Ha Jul 2009 A1
20090166616 Uchiyama Jul 2009 A1
20090176018 Zou et al. Jul 2009 A1
20090179365 Lerner et al. Jul 2009 A1
20090183520 Yukimoto Jul 2009 A1
20090186479 Okabe et al. Jul 2009 A1
20090186571 Haro Jul 2009 A1
20090194233 Tamura et al. Aug 2009 A1
20090197015 Kudela et al. Aug 2009 A1
20090197411 Dussarrat et al. Aug 2009 A1
20090200494 Hatem Aug 2009 A1
20090200547 Griffin et al. Aug 2009 A1
20090204403 Hollander et al. Aug 2009 A1
20090206056 Xu Aug 2009 A1
20090209081 Matero Aug 2009 A1
20090211523 Kuppurao et al. Aug 2009 A1
20090211525 Sarigiannis et al. Aug 2009 A1
20090214825 Sun et al. Aug 2009 A1
20090217871 Kim et al. Sep 2009 A1
20090221149 Hammond et al. Sep 2009 A1
20090221151 Honda et al. Sep 2009 A1
20090223441 Arena et al. Sep 2009 A1
20090227094 Bateman Sep 2009 A1
20090230211 Kobayashi et al. Sep 2009 A1
20090232985 Dussarrat et al. Sep 2009 A1
20090236014 Wilson Sep 2009 A1
20090236276 Kurth et al. Sep 2009 A1
20090236315 Willwerth et al. Sep 2009 A1
20090239386 Suzaki et al. Sep 2009 A1
20090242130 Tian et al. Oct 2009 A1
20090242957 Ma et al. Oct 2009 A1
20090246374 Vukovic Oct 2009 A1
20090246399 Goundar Oct 2009 A1
20090246971 Reid et al. Oct 2009 A1
20090250004 Yamada et al. Oct 2009 A1
20090250955 Aoki Oct 2009 A1
20090255901 Okita Oct 2009 A1
20090256127 Feist et al. Oct 2009 A1
20090261331 Yang et al. Oct 2009 A1
20090266296 Tachibana et al. Oct 2009 A1
20090267135 Tanaka et al. Oct 2009 A1
20090267225 Eguchi Oct 2009 A1
20090269506 Okura et al. Oct 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090269941 Raisanen Oct 2009 A1
20090275205 Kiehlbauch et al. Nov 2009 A1
20090275210 Shanker et al. Nov 2009 A1
20090277510 Shikata Nov 2009 A1
20090277874 Rui et al. Nov 2009 A1
20090280248 Goodman et al. Nov 2009 A1
20090283041 Tomiyasu et al. Nov 2009 A1
20090283217 Lubomirsky et al. Nov 2009 A1
20090284156 Banna et al. Nov 2009 A1
20090286400 Heo et al. Nov 2009 A1
20090286402 Xia et al. Nov 2009 A1
20090286405 Okesaku et al. Nov 2009 A1
20090289300 Sasaki et al. Nov 2009 A1
20090291208 Gordon et al. Nov 2009 A1
20090291566 Ueno et al. Nov 2009 A1
20090297696 Pore et al. Dec 2009 A1
20090297710 Lindfors Dec 2009 A1
20090297731 Goundar Dec 2009 A1
20090298257 Lee et al. Dec 2009 A1
20090302002 Collins et al. Dec 2009 A1
20090302434 Pallem et al. Dec 2009 A1
20090304558 Patton Dec 2009 A1
20090308315 de Ridder Dec 2009 A1
20090308425 Yednak Dec 2009 A1
20090311857 Todd et al. Dec 2009 A1
20090314208 Zhou et al. Dec 2009 A1
20090314309 Sankarakrishnan et al. Dec 2009 A1
20090315093 Li et al. Dec 2009 A1
20090317214 Hsiao et al. Dec 2009 A1
20090320754 Oya Dec 2009 A1
20090320881 Aitchison Dec 2009 A1
20090324971 De Vries et al. Dec 2009 A1
20090324989 Witz et al. Dec 2009 A1
20090325391 De Vusser et al. Dec 2009 A1
20090325469 Koo et al. Dec 2009 A1
20100000608 Goto et al. Jan 2010 A1
20100001409 Humbert et al. Jan 2010 A1
20100003406 Lam et al. Jan 2010 A1
20100006031 Choi et al. Jan 2010 A1
20100006923 Fujitsuka Jan 2010 A1
20100008656 Sorabji et al. Jan 2010 A1
20100012036 Silva et al. Jan 2010 A1
20100012153 Shigemoto et al. Jan 2010 A1
20100014479 Kim Jan 2010 A1
20100015813 McGinnis et al. Jan 2010 A1
20100018460 Singh et al. Jan 2010 A1
20100018913 Blum Jan 2010 A1
20100022097 Yamoto et al. Jan 2010 A1
20100024727 Kim et al. Feb 2010 A1
20100024872 Kishimoto Feb 2010 A1
20100025766 Nuttinck et al. Feb 2010 A1
20100025796 Dabiran Feb 2010 A1
20100031884 Aggarwal et al. Feb 2010 A1
20100032587 Hosch et al. Feb 2010 A1
20100032842 Herdt et al. Feb 2010 A1
20100034719 Dussarrat et al. Feb 2010 A1
20100038687 Klaus et al. Feb 2010 A1
20100040441 Obikane Feb 2010 A1
20100041179 Lee Feb 2010 A1
20100041243 Cheng et al. Feb 2010 A1
20100046321 McLaughlin et al. Feb 2010 A1
20100050943 Kato et al. Mar 2010 A1
20100051584 Okita et al. Mar 2010 A1
20100051597 Morita et al. Mar 2010 A1
20100055312 Kato et al. Mar 2010 A1
20100055316 Honma Mar 2010 A1
20100055318 Volf et al. Mar 2010 A1
20100055442 Kellock Mar 2010 A1
20100055898 Chang et al. Mar 2010 A1
20100058984 Marubayashi Mar 2010 A1
20100065758 Liu et al. Mar 2010 A1
20100068009 Kimura Mar 2010 A1
20100068383 Kato et al. Mar 2010 A1
20100068414 Takahashi et al. Mar 2010 A1
20100068891 Hatanaka et al. Mar 2010 A1
20100075037 Marsh et al. Mar 2010 A1
20100075488 Collins et al. Mar 2010 A1
20100075507 Chang et al. Mar 2010 A1
20100078601 Pallem et al. Apr 2010 A1
20100078746 Jung Apr 2010 A1
20100081094 Hasebe et al. Apr 2010 A1
20100081293 Mallick et al. Apr 2010 A1
20100086703 Mangum et al. Apr 2010 A1
20100087069 Miya et al. Apr 2010 A1
20100089320 Kim Apr 2010 A1
20100089870 Hiroshima et al. Apr 2010 A1
20100090149 Thompson et al. Apr 2010 A1
20100092679 Lee et al. Apr 2010 A1
20100092696 Shinriki Apr 2010 A1
20100093187 Lee et al. Apr 2010 A1
20100094430 Krumdieck Apr 2010 A1
20100098862 Xu et al. Apr 2010 A1
20100101728 Iwasaki Apr 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100105936 Tada et al. Apr 2010 A1
20100111648 Tamura et al. May 2010 A1
20100112496 Nakajima et al. May 2010 A1
20100116207 Givens May 2010 A1
20100116208 Sangam May 2010 A1
20100116209 Kato May 2010 A1
20100119439 Shindou May 2010 A1
20100119727 Takagi May 2010 A1
20100119844 Sun et al. May 2010 A1
20100120261 Kim et al. May 2010 A1
20100121100 Shay May 2010 A1
20100124610 Aikawa et al. May 2010 A1
20100124618 Kobayashi et al. May 2010 A1
20100124621 Kobayashi et al. May 2010 A1
20100126415 Ishino et al. May 2010 A1
20100126539 Lee et al. May 2010 A1
20100126605 Stones May 2010 A1
20100126666 Tandou et al. May 2010 A1
20100129548 Sneh May 2010 A1
20100129670 Sun et al. May 2010 A1
20100129990 Nishizawa et al. May 2010 A1
20100130015 Nakajima et al. May 2010 A1
20100130017 Luo et al. May 2010 A1
20100130105 Lee May 2010 A1
20100133255 Bahng et al. Jun 2010 A1
20100134023 Mills Jun 2010 A1
20100136216 Tsuei et al. Jun 2010 A1
20100140221 Kikuchi et al. Jun 2010 A1
20100140684 Ozawa Jun 2010 A1
20100143609 Fukazawa et al. Jun 2010 A1
20100144150 Sills et al. Jun 2010 A1
20100144162 Lee et al. Jun 2010 A1
20100144968 Lee et al. Jun 2010 A1
20100145547 Darabnia et al. Jun 2010 A1
20100147396 Yamagishi et al. Jun 2010 A1
20100151206 Wu et al. Jun 2010 A1
20100159638 Jeong Jun 2010 A1
20100159707 Huang et al. Jun 2010 A1
20100162752 Tabata et al. Jul 2010 A1
20100162956 Murakami et al. Jul 2010 A1
20100163187 Yokogawa et al. Jul 2010 A1
20100163524 Arai Jul 2010 A1
20100163937 Clendenning Jul 2010 A1
20100166630 Gu et al. Jul 2010 A1
20100168404 Girolami et al. Jul 2010 A1
20100170441 Won et al. Jul 2010 A1
20100170868 Lin et al. Jul 2010 A1
20100173432 White et al. Jul 2010 A1
20100178137 Chintalapati et al. Jul 2010 A1
20100178423 Shimizu et al. Jul 2010 A1
20100180819 Hatanaka et al. Jul 2010 A1
20100183825 Becker et al. Jul 2010 A1
20100184302 Lee et al. Jul 2010 A1
20100186669 Shin et al. Jul 2010 A1
20100189923 Goundar et al. Jul 2010 A1
20100193501 Zucker et al. Aug 2010 A1
20100193955 Milligan et al. Aug 2010 A1
20100195392 Freeman Aug 2010 A1
20100195690 Moench et al. Aug 2010 A1
20100197107 Matsuzaki Aug 2010 A1
20100202860 Reed Aug 2010 A1
20100203242 Borden Aug 2010 A1
20100206767 Odashima et al. Aug 2010 A1
20100209598 Xu et al. Aug 2010 A1
20100210108 Ishizaka et al. Aug 2010 A1
20100219757 Benzerrouk et al. Sep 2010 A1
20100221452 Kang Sep 2010 A1
20100224130 Smith et al. Sep 2010 A1
20100227458 Chung et al. Sep 2010 A1
20100229795 Tanabe Sep 2010 A1
20100229965 Kashima et al. Sep 2010 A1
20100230051 Iizuka Sep 2010 A1
20100230863 Moench et al. Sep 2010 A1
20100233885 Kushibiki et al. Sep 2010 A1
20100233886 Yang et al. Sep 2010 A1
20100236691 Yamazaki Sep 2010 A1
20100243166 Hayashi et al. Sep 2010 A1
20100244688 Braun et al. Sep 2010 A1
20100248465 Yi et al. Sep 2010 A1
20100252434 Roy Oct 2010 A1
20100255196 Geisler et al. Oct 2010 A1
20100255198 Cleary et al. Oct 2010 A1
20100255218 Oka et al. Oct 2010 A1
20100255625 De Vries Oct 2010 A1
20100255658 Aggarwal Oct 2010 A1
20100258809 Muller Oct 2010 A1
20100259152 Yasuda et al. Oct 2010 A1
20100266765 White et al. Oct 2010 A1
20100267224 Choi et al. Oct 2010 A1
20100267248 Ma et al. Oct 2010 A1
20100270675 Harada Oct 2010 A1
20100246630 Kaszynski et al. Nov 2010 A1
20100275846 Kitagawa Nov 2010 A1
20100279008 Takagi Nov 2010 A1
20100279512 Udea et al. Nov 2010 A1
20100282163 Aggarwal et al. Nov 2010 A1
20100282170 Nishizawa Nov 2010 A1
20100282645 Wang Nov 2010 A1
20100285237 Ditizio et al. Nov 2010 A1
20100285319 Kwak et al. Nov 2010 A1
20100294199 Tran et al. Nov 2010 A1
20100297391 Kley Nov 2010 A1
20100301752 Bakre et al. Dec 2010 A1
20100304047 Yang et al. Dec 2010 A1
20100307415 Shero et al. Dec 2010 A1
20100317177 Huang et al. Dec 2010 A1
20100317198 Antonelli Dec 2010 A1
20100322604 Fondurulia et al. Dec 2010 A1
20100322822 Fritchie et al. Dec 2010 A1
20100326358 Choi Dec 2010 A1
20110000619 Suh Jan 2011 A1
20110003087 Soininen et al. Jan 2011 A1
20110003450 Lee et al. Jan 2011 A1
20110005684 Hayami et al. Jan 2011 A1
20110006402 Zhou Jan 2011 A1
20110006406 Urbanowicz et al. Jan 2011 A1
20110008950 Xu Jan 2011 A1
20110014359 Hashim Jan 2011 A1
20110014795 Lee Jan 2011 A1
20110017139 Chiang et al. Jan 2011 A1
20110021033 Ikeuchi et al. Jan 2011 A1
20110027725 Tsutsumi et al. Feb 2011 A1
20110027999 Sparks et al. Feb 2011 A1
20110031562 Lin et al. Feb 2011 A1
20110034039 Liang et al. Feb 2011 A1
20110039419 Date et al. Feb 2011 A1
20110041764 Webb et al. Feb 2011 A1
20110042200 Wilby Feb 2011 A1
20110045610 van Schravendijk et al. Feb 2011 A1
20110045676 Park et al. Feb 2011 A1
20110046314 Klipp et al. Feb 2011 A1
20110048642 Mihara et al. Mar 2011 A1
20110048769 Fujiwara Mar 2011 A1
20110049100 Han et al. Mar 2011 A1
20110052833 Hanawa et al. Mar 2011 A1
20110053383 Shero et al. Mar 2011 A1
20110056513 Hombach et al. Mar 2011 A1
20110056626 Brown et al. Mar 2011 A1
20110057248 Ma et al. Mar 2011 A1
20110061810 Ganguly et al. Mar 2011 A1
20110065289 Asai Mar 2011 A1
20110067522 Lai Mar 2011 A1
20110070380 Shero et al. Mar 2011 A1
20110070740 Bettencourt et al. Mar 2011 A1
20110076401 Chao et al. Mar 2011 A1
20110081519 Dillingh Apr 2011 A1
20110083496 Lin et al. Apr 2011 A1
20110086516 Lee et al. Apr 2011 A1
20110089166 Hunter et al. Apr 2011 A1
20110089419 Yamazaki et al. Apr 2011 A1
20110089469 Merckling Apr 2011 A1
20110091650 Noguchi et al. Apr 2011 A1
20110092077 Xu et al. Apr 2011 A1
20110097901 Banna et al. Apr 2011 A1
20110098841 Tsuda Apr 2011 A1
20110100489 Orito et al. May 2011 A1
20110104395 Kumagai et al. May 2011 A1
20110107512 Gilbert May 2011 A1
20110108194 Yoshioka et al. May 2011 A1
20110108424 Puget et al. May 2011 A1
20110108741 Ingram May 2011 A1
20110108929 Meng May 2011 A1
20110114261 Matsumoto et al. May 2011 A1
20110114601 Lubomirsky et al. May 2011 A1
20110115378 Lubomirsky et al. May 2011 A1
20110117490 Bae et al. May 2011 A1
20110117492 Yamada et al. May 2011 A1
20110117728 Su et al. May 2011 A1
20110117732 Bauer et al. May 2011 A1
20110117737 Agarwala et al. May 2011 A1
20110117749 Sheu May 2011 A1
20110121503 Burrows et al. May 2011 A1
20110121736 Hirayama et al. May 2011 A1
20110124196 Lee May 2011 A1
20110127702 Gautam et al. Jun 2011 A1
20110132542 Ilzuka Jun 2011 A1
20110135842 Faguet et al. Jun 2011 A1
20110139272 Matsumoto et al. Jun 2011 A1
20110139748 Donnelly et al. Jun 2011 A1
20110140172 Chu Jun 2011 A1
20110140173 Ramdani Jun 2011 A1
20110143032 Vrtis et al. Jun 2011 A1
20110143461 Fish et al. Jun 2011 A1
20110155264 Minami et al. Jun 2011 A1
20110155322 Himori et al. Jun 2011 A1
20110159200 Kogure Jun 2011 A1
20110159202 Matsushita Jun 2011 A1
20110159673 Hanawa et al. Jun 2011 A1
20110159680 Yoo Jun 2011 A1
20110168330 Sakaue et al. Jul 2011 A1
20110171380 Higashi et al. Jul 2011 A1
20110171775 Yamamoto et al. Jul 2011 A1
20110175011 Ehrne et al. Jul 2011 A1
20110177648 Tanner et al. Jul 2011 A1
20110180233 Bera et al. Jul 2011 A1
20110183079 Jackson et al. Jul 2011 A1
20110183269 Zhu Jul 2011 A1
20110183527 Cho Jul 2011 A1
20110185969 Yang Aug 2011 A1
20110186984 Saito et al. Aug 2011 A1
20110192820 Yeom et al. Aug 2011 A1
20110195574 Blasco et al. Aug 2011 A1
20110198034 Sun et al. Aug 2011 A1
20110198417 Detmar et al. Aug 2011 A1
20110198736 Shero et al. Aug 2011 A1
20110204025 Tahara Aug 2011 A1
20110207332 Liu et al. Aug 2011 A1
20110210468 Shannon et al. Sep 2011 A1
20110212625 Toyoda et al. Sep 2011 A1
20110217838 Hsieh et al. Sep 2011 A1
20110220874 Hanrath Sep 2011 A1
20110223334 Yudovsky et al. Sep 2011 A1
20110226421 Hayashi Sep 2011 A1
20110232678 Shih et al. Sep 2011 A1
20110236201 Shende Sep 2011 A1
20110236594 Haverkamp et al. Sep 2011 A1
20110236600 Fox et al. Sep 2011 A1
20110237040 Ng et al. Sep 2011 A1
20110237082 Nakajima et al. Sep 2011 A1
20110239936 Suzaki et al. Oct 2011 A1
20110239940 Benvenuti et al. Oct 2011 A1
20110244673 Cho et al. Oct 2011 A1
20110253044 Tam et al. Oct 2011 A1
20110254052 Kouvetakis Oct 2011 A1
20110256675 Avouris Oct 2011 A1
20110256692 Tam et al. Oct 2011 A1
20110256724 Chandrasekharan et al. Oct 2011 A1
20110256726 Lavoie et al. Oct 2011 A1
20110256727 Beynet et al. Oct 2011 A1
20110256734 Hausmann et al. Oct 2011 A1
20110259519 Kenworthy et al. Oct 2011 A1
20110262642 Xiao et al. Oct 2011 A1
20110263107 Chung et al. Oct 2011 A1
20110263115 Ganguli et al. Oct 2011 A1
20110264250 Nishimura et al. Oct 2011 A1
20110265549 Cruse et al. Nov 2011 A1
20110265715 Keller Nov 2011 A1
20110265725 Tsuji Nov 2011 A1
20110265951 Xu et al. Nov 2011 A1
20110266611 Kim et al. Nov 2011 A1
20110269314 Lee et al. Nov 2011 A1
20110275018 Matteo et al. Nov 2011 A1
20110275166 Shero et al. Nov 2011 A1
20110277690 Rozenzon et al. Nov 2011 A1
20110281417 Gordon et al. Nov 2011 A1
20110283933 Makarov et al. Nov 2011 A1
20110286819 Shibata et al. Nov 2011 A1
20110291243 Seamons Dec 2011 A1
20110294075 Chen et al. Dec 2011 A1
20110294288 Lee et al. Dec 2011 A1
20110297088 Song et al. Dec 2011 A1
20110298062 Ganguli et al. Dec 2011 A1
20110300720 Fu Dec 2011 A1
20110305102 Berger et al. Dec 2011 A1
20110305856 Bonn Dec 2011 A1
20110308453 Su et al. Dec 2011 A1
20110308460 Hong et al. Dec 2011 A1
20110312191 Ohkura et al. Dec 2011 A1
20110318142 Gage et al. Dec 2011 A1
20110318888 Komatsu et al. Dec 2011 A1
20110318935 Oyabu et al. Dec 2011 A1
20120001172 Shang et al. Jan 2012 A1
20120003500 Yoshida et al. Jan 2012 A1
20120003599 Patalay et al. Jan 2012 A1
20120003726 Jones et al. Jan 2012 A1
20120003831 Kang et al. Jan 2012 A1
20120006489 Okita Jan 2012 A1
20120009802 Lavoie Jan 2012 A1
20120012556 Matsumoto et al. Jan 2012 A1
20120021252 Lee Jan 2012 A1
20120024223 Torres et al. Feb 2012 A1
20120024227 Takasuka et al. Feb 2012 A1
20120024231 Horino Feb 2012 A1
20120024478 Huang et al. Feb 2012 A1
20120024479 Palagashvili et al. Feb 2012 A1
20120027547 Jager et al. Feb 2012 A1
20120028454 Swaminathan et al. Feb 2012 A1
20120028469 Onizawa et al. Feb 2012 A1
20120031333 Kurita et al. Feb 2012 A1
20120031500 Hirose et al. Feb 2012 A1
20120032311 Gates Feb 2012 A1
20120033695 Hayashi et al. Feb 2012 A1
20120034793 Narushima et al. Feb 2012 A1
20120036732 Varadarajan Feb 2012 A1
20120040097 Volf et al. Feb 2012 A1
20120040528 Kim et al. Feb 2012 A1
20120043556 Dube et al. Feb 2012 A1
20120043617 Nakagawa et al. Feb 2012 A1
20120046421 Darling et al. Feb 2012 A1
20120052681 Marsh Mar 2012 A1
20120055401 Tozawa Mar 2012 A1
20120058270 Winter et al. Mar 2012 A1
20120058630 Quinn Mar 2012 A1
20120064690 Hirota et al. Mar 2012 A1
20120064726 Nozawa et al. Mar 2012 A1
20120064764 Islam Mar 2012 A1
20120068242 Shin et al. Mar 2012 A1
20120070136 Koelmel et al. Mar 2012 A1
20120070997 Larson Mar 2012 A1
20120073400 Wang Mar 2012 A1
20120074533 Aoyama Mar 2012 A1
20120077349 Li et al. Mar 2012 A1
20120077350 Miya et al. Mar 2012 A1
20120080756 Suzuki Apr 2012 A1
20120083134 Wu et al. Apr 2012 A1
20120088031 Neel Apr 2012 A1
20120088369 Weidman et al. Apr 2012 A1
20120090704 Laverdiere et al. Apr 2012 A1
20120091522 Ozaki et al. Apr 2012 A1
20120094010 Sugiura et al. Apr 2012 A1
20120094468 Bhatia et al. Apr 2012 A1
20120098107 Raisanen et al. Apr 2012 A1
20120100464 Kageyama Apr 2012 A1
20120103264 Choi et al. May 2012 A1
20120103522 Hohenwarter May 2012 A1
20120103939 Wu et al. May 2012 A1
20120104514 Park et al. May 2012 A1
20120107607 Takaki et al. May 2012 A1
20120108039 Zajaji May 2012 A1
20120108048 Lim et al. May 2012 A1
20120111271 Begarney et al. May 2012 A1
20120114877 Lee May 2012 A1
20120115250 Ariga et al. May 2012 A1
20120115257 Matsuyam et al. May 2012 A1
20120119337 Sasaki et al. May 2012 A1
20120121823 Chhabra May 2012 A1
20120122275 Koo et al. May 2012 A1
20120122302 Weisman et al. May 2012 A1
20120122319 Shimizu May 2012 A1
20120125258 Lee May 2012 A1
20120126300 Park et al. May 2012 A1
20120128897 Xiao et al. May 2012 A1
20120135145 Je et al. May 2012 A1
20120139009 Ning et al. Jun 2012 A1
20120145078 Huang et al. Jun 2012 A1
20120146113 Suzuki et al. Jun 2012 A1
20120149207 Graff Jun 2012 A1
20120149213 Nittala Jun 2012 A1
20120156108 Fondurulia et al. Jun 2012 A1
20120156890 Yim et al. Jun 2012 A1
20120160172 Wamura et al. Jun 2012 A1
20120160809 Ishibashi et al. Jun 2012 A1
20120161126 Yamazaki Jun 2012 A1
20120161405 Mohn Jun 2012 A1
20120164327 Sato Jun 2012 A1
20120164837 Tan et al. Jun 2012 A1
20120164842 Watanabe Jun 2012 A1
20120164846 Ha et al. Jun 2012 A1
20120170170 Gros-Jean Jul 2012 A1
20120171391 Won Jul 2012 A1
20120171874 Thridandam et al. Jul 2012 A1
20120175518 Godet et al. Jul 2012 A1
20120175751 Gatineau et al. Jul 2012 A1
20120177845 Odedra et al. Jul 2012 A1
20120180719 Inoue et al. Jul 2012 A1
20120180954 Yang et al. Jul 2012 A1
20120183689 Suzuki et al. Jul 2012 A1
20120186521 Iwasaki et al. Jul 2012 A1
20120186573 Jdira et al. Jul 2012 A1
20120187083 Hashizume Jul 2012 A1
20120187305 Elam et al. Jul 2012 A1
20120187375 Guo et al. Jul 2012 A1
20120190178 Wang et al. Jul 2012 A1
20120190185 Rogers Jul 2012 A1
20120190208 Ozu et al. Jul 2012 A1
20120196048 Ueda Aug 2012 A1
20120196242 Volfovski et al. Aug 2012 A1
20120196450 Balseanu et al. Aug 2012 A1
20120202358 Gealy et al. Aug 2012 A1
20120206033 Matsuyama Aug 2012 A1
20120207456 Kim et al. Aug 2012 A1
20120212121 Lin Aug 2012 A1
20120213947 Lee Aug 2012 A1
20120214318 Fukazawa et al. Aug 2012 A1
20120216743 Itoh et al. Aug 2012 A1
20120219735 Bakker et al. Aug 2012 A1
20120219824 Prolier Aug 2012 A1
20120220139 Lee et al. Aug 2012 A1
20120222813 Pal et al. Sep 2012 A1
20120222815 Sabri et al. Sep 2012 A1
20120225192 Yudovsky et al. Sep 2012 A1
20120225561 Watanabe Sep 2012 A1
20120231611 Gatineau et al. Sep 2012 A1
20120231771 Marcus Sep 2012 A1
20120232340 Levy et al. Sep 2012 A1
20120238074 Santhanam et al. Sep 2012 A1
20120240858 Taniyama et al. Sep 2012 A1
20120241089 Dielmann et al. Sep 2012 A1
20120241411 Darling et al. Sep 2012 A1
20120244703 Nakayama et al. Sep 2012 A1
20120247386 Sanchez et al. Oct 2012 A1
20120252229 Timans et al. Oct 2012 A1
20120258257 Nguyen et al. Oct 2012 A1
20120263875 Brenninger et al. Oct 2012 A1
20120263876 Haukka et al. Oct 2012 A1
20120263887 Papasouliotis et al. Oct 2012 A1
20120264051 Angelov et al. Oct 2012 A1
20120264305 Nakano Oct 2012 A1
20120267048 Moyama et al. Oct 2012 A1
20120269962 Blomberg et al. Oct 2012 A1
20120269967 Yudovsky et al. Oct 2012 A1
20120270339 Xie et al. Oct 2012 A1
20120270384 Sanchez et al. Oct 2012 A1
20120270393 Pore et al. Oct 2012 A1
20120270407 Werner et al. Oct 2012 A1
20120273052 Ye et al. Nov 2012 A1
20120273162 Mahadeswaraswamy Nov 2012 A1
20120276306 Ueda Nov 2012 A1
20120288625 Furuya et al. Nov 2012 A1
20120289053 Holland et al. Nov 2012 A1
20120289056 Bergman et al. Nov 2012 A1
20120289057 DeDontney Nov 2012 A1
20120295427 Bauer Nov 2012 A1
20120295449 Fukazawa Nov 2012 A1
20120302055 Pore et al. Nov 2012 A1
20120303313 Moroi et al. Nov 2012 A1
20120304935 Oosterlaken et al. Dec 2012 A1
20120305026 Nomura et al. Dec 2012 A1
20120305196 Mori et al. Dec 2012 A1
20120305987 Hirler et al. Dec 2012 A1
20120307588 Hanada et al. Dec 2012 A1
20120309181 Machkaoutsan et al. Dec 2012 A1
20120310440 Darabnia et al. Dec 2012 A1
20120315113 Hiroki Dec 2012 A1
20120315404 Li et al. Dec 2012 A1
20120318334 Bedell et al. Dec 2012 A1
20120318457 Nguyen et al. Dec 2012 A1
20120318773 Wu et al. Dec 2012 A1
20120319279 Isobayashi Dec 2012 A1
20120320491 Doh et al. Dec 2012 A1
20120321786 Satitpunwaycha et al. Dec 2012 A1
20120322252 Son et al. Dec 2012 A1
20120325148 Yamagishi et al. Dec 2012 A1
20120328780 Yamagishi et al. Dec 2012 A1
20120329208 Pore et al. Dec 2012 A1
20130002121 Ma Jan 2013 A1
20130005122 Schwarzenbach et al. Jan 2013 A1
20130005147 Angyal et al. Jan 2013 A1
20130008607 Matsumoto et al. Jan 2013 A1
20130011630 Sullivan et al. Jan 2013 A1
20130011983 Tsai Jan 2013 A1
20130011984 Wang et al. Jan 2013 A1
20130012003 Haukka et al. Jan 2013 A1
20130012034 Ahn et al. Jan 2013 A1
20130014697 Kanayama Jan 2013 A1
20130014896 Shoji et al. Jan 2013 A1
20130017503 De Ridder et al. Jan 2013 A1
20130019944 Hekmatshoar-Tabai et al. Jan 2013 A1
20130019945 Hekmatshoar-Tabai et al. Jan 2013 A1
20130019960 Choi et al. Jan 2013 A1
20130020246 Hoots et al. Jan 2013 A1
20130023120 Yaehashi et al. Jan 2013 A1
20130023124 Nemani et al. Jan 2013 A1
20130023129 Reed Jan 2013 A1
20130025538 Collins et al. Jan 2013 A1
20130025786 Davidkovich et al. Jan 2013 A1
20130026451 Bangsaruntip et al. Jan 2013 A1
20130032085 Hanawa et al. Feb 2013 A1
20130037532 Volfovski et al. Feb 2013 A1
20130037858 Hong et al. Feb 2013 A1
20130037886 Tsai et al. Feb 2013 A1
20130040447 Swaminathan et al. Feb 2013 A1
20130040481 Vallely et al. Feb 2013 A1
20130042811 Shanker et al. Feb 2013 A1
20130048606 Mao et al. Feb 2013 A1
20130052585 Ayothi et al. Feb 2013 A1
20130052836 Hirose et al. Feb 2013 A1
20130059078 Gatineau et al. Mar 2013 A1
20130059415 Kato et al. Mar 2013 A1
20130061755 Frederick Mar 2013 A1
20130062753 Nguyen et al. Mar 2013 A1
20130062839 Tschinderle et al. Mar 2013 A1
20130064973 Chen et al. Mar 2013 A1
20130065189 Yoshii et al. Mar 2013 A1
20130068391 Mazzocco et al. Mar 2013 A1
20130068727 Okita Mar 2013 A1
20130068970 Matsushita Mar 2013 A1
20130069052 Sandhu Mar 2013 A1
20130070456 Jang et al. Mar 2013 A1
20130075746 Mallikarjunaswamy et al. Mar 2013 A1
20130075788 Tomabechi Mar 2013 A1
20130078376 Higashino et al. Mar 2013 A1
20130078392 Xiao et al. Mar 2013 A1
20130081702 Mohammed et al. Apr 2013 A1
20130082274 Yang Apr 2013 A1
20130084156 Shimamoto Apr 2013 A1
20130084408 Nakao et al. Apr 2013 A1
20130084711 Liang et al. Apr 2013 A1
20130084714 Oka et al. Apr 2013 A1
20130085618 Ding Apr 2013 A1
20130089667 Lai et al. Apr 2013 A1
20130089716 Krishnamurthy et al. Apr 2013 A1
20130089988 Wang et al. Apr 2013 A1
20130092085 Lee Apr 2013 A1
20130093048 Chang et al. Apr 2013 A1
20130093321 Yoshikawa et al. Apr 2013 A1
20130095664 Matero et al. Apr 2013 A1
20130095973 Kroneberger et al. Apr 2013 A1
20130099318 Adam et al. Apr 2013 A1
20130104988 Yednak et al. May 2013 A1
20130104992 Yednak et al. May 2013 A1
20130107415 Banna et al. May 2013 A1
20130109172 Collins et al. May 2013 A1
20130109192 Hawkins et al. May 2013 A1
20130112251 Hang et al. May 2013 A1
20130113085 Michaelson et al. May 2013 A1
20130115383 Lu et al. May 2013 A1
20130115763 Takamure et al. May 2013 A1
20130115768 Pore et al. May 2013 A1
20130118405 Ho et al. May 2013 A1
20130118895 Roozeboom et al. May 2013 A1
20130119018 Kanarik et al. May 2013 A1
20130122712 Kim et al. May 2013 A1
20130122722 Cissell et al. May 2013 A1
20130126515 Shero et al. May 2013 A1
20130129577 Halpin et al. May 2013 A1
20130130490 Lee et al. May 2013 A1
20130134148 Tachikawa May 2013 A1
20130137279 Yamamoto et al. May 2013 A1
20130143401 Yu et al. Jun 2013 A1
20130143415 Yudovsky et al. Jun 2013 A1
20130145587 Adhiprakasha Jun 2013 A1
20130145984 Zhang et al. Jun 2013 A1
20130147050 Bonner, III et al. Jun 2013 A1
20130149874 Hirose et al. Jun 2013 A1
20130152933 Lischer et al. Jun 2013 A1
20130157409 Vaidya Jun 2013 A1
20130157521 Aldrich et al. Jun 2013 A1
20130160709 White et al. Jun 2013 A1
20130161629 Han et al. Jun 2013 A1
20130162142 Nishino et al. Jun 2013 A1
20130164458 Soininen et al. Jun 2013 A1
20130168353 Okita et al. Jul 2013 A1
20130168354 Kanarik Jul 2013 A1
20130171818 Kim et al. Jul 2013 A1
20130175596 Cheng et al. Jul 2013 A1
20130177706 Baluja et al. Jul 2013 A1
20130180448 Sakaue et al. Jul 2013 A1
20130183814 Huang et al. Jul 2013 A1
20130186340 Omori et al. Jul 2013 A1
20130189635 Lim et al. Jul 2013 A1
20130189854 Hausmann et al. Jul 2013 A1
20130196502 Haukka et al. Aug 2013 A1
20130196507 Ma et al. Aug 2013 A1
20130200518 Ahmed et al. Aug 2013 A1
20130202387 Hiroki Aug 2013 A1
20130203258 Chen et al. Aug 2013 A1
20130203266 Hintze Aug 2013 A1
20130203267 Pomarede et al. Aug 2013 A1
20130206066 Han et al. Aug 2013 A1
20130209940 Sakamoto et al. Aug 2013 A1
20130210241 Lavoie et al. Aug 2013 A1
20130213300 Sung et al. Aug 2013 A1
20130214232 Tendulkar et al. Aug 2013 A1
20130216710 Masuda et al. Aug 2013 A1
20130217239 Mallick et al. Aug 2013 A1
20130217240 Mallick et al. Aug 2013 A1
20130217241 Underwood et al. Aug 2013 A1
20130217243 Underwood et al. Aug 2013 A1
20130219853 Little et al. Aug 2013 A1
20130220550 Koo et al. Aug 2013 A1
20130224964 Fukazawa Aug 2013 A1
20130228225 Leeser Sep 2013 A1
20130228902 Tomizawa et al. Sep 2013 A1
20130230814 Dunn et al. Sep 2013 A1
20130230987 Draeger et al. Sep 2013 A1
20130234203 Tsai et al. Sep 2013 A1
20130242287 Schlezinger Sep 2013 A1
20130247937 Nunomura et al. Sep 2013 A1
20130256265 Darling et al. Oct 2013 A1
20130256838 Sanchez et al. Oct 2013 A1
20130256962 Ranish Oct 2013 A1
20130260371 Holt Oct 2013 A1
20130264659 Jung Oct 2013 A1
20130267045 Lee et al. Oct 2013 A1
20130269609 Leeser Oct 2013 A1
20130269612 Cheng et al. Oct 2013 A1
20130270600 Helander et al. Oct 2013 A1
20130270676 Lindert et al. Oct 2013 A1
20130273330 Wang et al. Oct 2013 A1
20130276978 Bluck et al. Oct 2013 A1
20130280891 Kim et al. Oct 2013 A1
20130285155 Glass Oct 2013 A1
20130287526 Bluck et al. Oct 2013 A1
20130288427 Hung et al. Oct 2013 A1
20130288471 Chi Oct 2013 A1
20130288480 Sanchez et al. Oct 2013 A1
20130288485 Liang et al. Oct 2013 A1
20130292047 Tian et al. Nov 2013 A1
20130292676 Milligan et al. Nov 2013 A1
20130292807 Raisanen et al. Nov 2013 A1
20130295779 Chandra et al. Nov 2013 A1
20130299944 Lai et al. Nov 2013 A1
20130302520 Wang et al. Nov 2013 A1
20130302999 Won et al. Nov 2013 A1
20130303803 Doerr et al. Nov 2013 A1
20130309876 Ogawa Nov 2013 A1
20130312663 Khosla et al. Nov 2013 A1
20130313656 Tong Nov 2013 A1
20130319290 Xiao et al. Dec 2013 A1
20130320429 Thomas Dec 2013 A1
20130323435 Xiao et al. Dec 2013 A1
20130323859 Chen et al. Dec 2013 A1
20130323935 Suzuki et al. Dec 2013 A1
20130330165 Wimplinger Dec 2013 A1
20130330911 Huang et al. Dec 2013 A1
20130330933 Fukazawa et al. Dec 2013 A1
20130333619 Omari Dec 2013 A1
20130337172 Lee Dec 2013 A1
20130337583 Kobayashi et al. Dec 2013 A1
20130337639 Ivanstov et al. Dec 2013 A1
20130337653 Kovalgin et al. Dec 2013 A1
20130340619 Tammera Dec 2013 A1
20130340678 Wamura et al. Dec 2013 A1
20130344248 Clark Dec 2013 A1
20140000843 Dunn et al. Jan 2014 A1
20140001520 Glass Jan 2014 A1
20140004274 Thompson Jan 2014 A1
20140007808 Okabe et al. Jan 2014 A1
20140014642 Elliot et al. Jan 2014 A1
20140014644 Akiba et al. Jan 2014 A1
20140015108 Kim et al. Jan 2014 A1
20140015186 Wessel et al. Jan 2014 A1
20140017408 Gandikota et al. Jan 2014 A1
20140017414 Fukazawa et al. Jan 2014 A1
20140017908 Beynet et al. Jan 2014 A1
20140020619 Vincent et al. Jan 2014 A1
20140020764 Woelk et al. Jan 2014 A1
20140020839 Kenney et al. Jan 2014 A1
20140023794 Mahajani et al. Jan 2014 A1
20140024223 Kilpi et al. Jan 2014 A1
20140027884 Tang et al. Jan 2014 A1
20140030447 Lee Jan 2014 A1
20140033978 Adachi et al. Feb 2014 A1
20140034240 Kim et al. Feb 2014 A1
20140034632 Pan et al. Feb 2014 A1
20140036274 Marquardt et al. Feb 2014 A1
20140045324 Brabant et al. Feb 2014 A1
20140045342 Mallick et al. Feb 2014 A1
20140047705 Singh Feb 2014 A1
20140048765 Ma et al. Feb 2014 A1
20140053866 Baluja et al. Feb 2014 A1
20140056679 Yamabe et al. Feb 2014 A1
20140056770 Bedard et al. Feb 2014 A1
20140057187 Suzuki et al. Feb 2014 A1
20140057454 Subramonium Feb 2014 A1
20140058179 Stevens et al. Feb 2014 A1
20140060147 Sarin et al. Mar 2014 A1
20140060572 Yasumuro et al. Mar 2014 A1
20140061770 Lee Mar 2014 A1
20140062304 Nakano et al. Mar 2014 A1
20140065841 Matero Mar 2014 A1
20140067110 Lawson et al. Mar 2014 A1
20140072710 Valle Mar 2014 A1
20140072726 Kim Mar 2014 A1
20140072925 Kaneko Mar 2014 A1
20140073082 Song Mar 2014 A1
20140073143 Alokozai et al. Mar 2014 A1
20140076861 Cornelius et al. Mar 2014 A1
20140077240 Roucka et al. Mar 2014 A1
20140080314 Sasajima et al. Mar 2014 A1
20140084341 Weeks Mar 2014 A1
20140087490 Kahlon Mar 2014 A1
20140087544 Tolle Mar 2014 A1
20140087564 Shimizu et al. Mar 2014 A1
20140094027 Azumo et al. Apr 2014 A1
20140096716 Chung et al. Apr 2014 A1
20140097468 Okita Apr 2014 A1
20140099794 Ingle et al. Apr 2014 A1
20140099798 Tsuji Apr 2014 A1
20140103145 White et al. Apr 2014 A1
20140106574 Kang et al. Apr 2014 A1
20140110798 Cai Apr 2014 A1
20140113457 Sims Apr 2014 A1
20140116335 Tsuji et al. May 2014 A1
20140117380 Loboda et al. May 2014 A1
20140120312 He et al. May 2014 A1
20140120487 Kaneko May 2014 A1
20140120678 Shinriki et al. May 2014 A1
20140120723 Fu et al. May 2014 A1
20140120738 Jung May 2014 A1
20140120750 Johnson May 2014 A1
20140127422 Shao et al. May 2014 A1
20140127907 Yang May 2014 A1
20140130687 Shibusawa et al. May 2014 A1
20140138779 Xie et al. May 2014 A1
20140141165 Sato et al. May 2014 A1
20140141625 Fukazawa et al. May 2014 A1
20140141674 Galbreath et al. May 2014 A1
20140144375 Kim et al. May 2014 A1
20140144500 Cao et al. May 2014 A1
20140145332 Ryan et al. May 2014 A1
20140147587 Endo et al. May 2014 A1
20140148924 Brak et al. May 2014 A1
20140158154 Kondo et al. Jun 2014 A1
20140158786 Santo Jun 2014 A1
20140159170 Raisanen et al. Jun 2014 A1
20140162401 Kawano et al. Jun 2014 A1
20140167187 Kuo et al. Jun 2014 A1
20140170320 Yamamoto et al. Jun 2014 A1
20140170335 Shao et al. Jun 2014 A1
20140174354 Arai Jun 2014 A1
20140174357 Kim et al. Jun 2014 A1
20140174927 Bashir et al. Jun 2014 A1
20140175054 Carlson et al. Jun 2014 A1
20140179091 Clark Jun 2014 A1
20140179092 Kim Jun 2014 A1
20140182053 Huang Jul 2014 A1
20140182689 Shareef et al. Jul 2014 A1
20140187022 Falster et al. Jul 2014 A1
20140187045 Hua et al. Jul 2014 A1
20140190581 Nagase et al. Jul 2014 A1
20140191389 Lee et al. Jul 2014 A1
20140193983 Lavoie Jul 2014 A1
20140202382 Kim et al. Jul 2014 A1
20140202386 Taga Jul 2014 A1
20140202388 Um et al. Jul 2014 A1
20140209976 Yang et al. Jul 2014 A1
20140213061 Huang et al. Jul 2014 A1
20140217065 Winkler et al. Aug 2014 A1
20140220247 Haukka et al. Aug 2014 A1
20140225065 Rachmady et al. Aug 2014 A1
20140227072 Lee et al. Aug 2014 A1
20140227444 Winter et al. Aug 2014 A1
20140227861 Wu et al. Aug 2014 A1
20140227881 Lubomirsky et al. Aug 2014 A1
20140231922 Kim et al. Aug 2014 A1
20140234466 Gao et al. Aug 2014 A1
20140234550 Winter et al. Aug 2014 A1
20140234992 Kubota et al. Aug 2014 A1
20140238607 Nozawa et al. Aug 2014 A1
20140238608 Sabri et al. Aug 2014 A1
20140242298 Lansalot-Matras et al. Aug 2014 A1
20140242806 Knapp et al. Aug 2014 A1
20140242808 Akiyama et al. Aug 2014 A1
20140245948 Nguyen et al. Sep 2014 A1
20140251788 Ge et al. Sep 2014 A1
20140251953 Winkler et al. Sep 2014 A1
20140251954 Winkler et al. Sep 2014 A1
20140252134 Chen Sep 2014 A1
20140252479 Utomo et al. Sep 2014 A1
20140252710 Cuvalci et al. Sep 2014 A1
20140256160 Wada et al. Sep 2014 A1
20140260684 Christmann Sep 2014 A1
20140262028 Kelekar Sep 2014 A1
20140262034 Ishibashi et al. Sep 2014 A1
20140262193 Im et al. Sep 2014 A1
20140263172 Xie et al. Sep 2014 A1
20140263272 Duan et al. Sep 2014 A1
20140264297 Kumar et al. Sep 2014 A1
20140264444 Guo et al. Sep 2014 A1
20140264902 Ting et al. Sep 2014 A1
20140265090 Hou Sep 2014 A1
20140265832 Kenney et al. Sep 2014 A1
20140271081 Lavitsky et al. Sep 2014 A1
20140272194 Xiao et al. Sep 2014 A1
20140272341 Duan et al. Sep 2014 A1
20140273428 Shero Sep 2014 A1
20140273477 Niskanen Sep 2014 A1
20140273497 Payne et al. Sep 2014 A1
20140273510 Chen et al. Sep 2014 A1
20140273528 Niskanen Sep 2014 A1
20140273530 Nguyen Sep 2014 A1
20140273531 Niskanen Sep 2014 A1
20140283747 Kasai et al. Sep 2014 A1
20140287164 Xiao et al. Sep 2014 A1
20140287595 Shimamoto et al. Sep 2014 A1
20140290573 Okabe et al. Oct 2014 A1
20140290578 Wamura et al. Oct 2014 A1
20140306250 Gardner et al. Oct 2014 A1
20140308108 Fosnight et al. Oct 2014 A1
20140312767 Tian et al. Oct 2014 A1
20140322862 Xie et al. Oct 2014 A1
20140322885 Xie et al. Oct 2014 A1
20140327117 Bencher et al. Nov 2014 A1
20140339981 Komatsu et al. Nov 2014 A1
20140346142 Chapuis et al. Nov 2014 A1
20140346600 Cheng et al. Nov 2014 A1
20140346650 Raisanen et al. Nov 2014 A1
20140349033 Nonaka et al. Nov 2014 A1
20140349068 Inglis et al. Nov 2014 A1
20140357090 Knaepen et al. Dec 2014 A1
20140360430 Armour et al. Dec 2014 A1
20140363980 Kawamata et al. Dec 2014 A1
20140363983 Nakano et al. Dec 2014 A1
20140363985 Jang et al. Dec 2014 A1
20140366804 Pak et al. Dec 2014 A1
20140367043 Bishara et al. Dec 2014 A1
20140367642 Guo Dec 2014 A1
20140377960 Koiwa Dec 2014 A1
20150004316 Thompson et al. Jan 2015 A1
20150004317 Dussarrat et al. Jan 2015 A1
20150004318 Alasaarela et al. Jan 2015 A1
20150004798 Chandrasekharan et al. Jan 2015 A1
20150004806 Ndiege et al. Jan 2015 A1
20150007770 Chandrasekharan et al. Jan 2015 A1
20150010381 Cai Jan 2015 A1
20150011095 Chandrasekharan et al. Jan 2015 A1
20150014632 Kim et al. Jan 2015 A1
20150014823 Mallikarjunan et al. Jan 2015 A1
20150017794 Takamure Jan 2015 A1
20150021599 Ridgeway Jan 2015 A1
20150024567 Tsai et al. Jan 2015 A1
20150024609 Milligan et al. Jan 2015 A1
20150030766 Lind et al. Jan 2015 A1
20150030782 Ivanov et al. Jan 2015 A1
20150031218 Karakawa Jan 2015 A1
20150041431 Zafiropoulo et al. Feb 2015 A1
20150048485 Tolle Feb 2015 A1
20150056815 Fernandez Feb 2015 A1
20150056821 Ishikawa et al. Feb 2015 A1
20150061078 Abel et al. Mar 2015 A1
20150064923 Matsumoto et al. Mar 2015 A1
20150069354 Helander et al. Mar 2015 A1
20150072509 Chi et al. Mar 2015 A1
20150078874 Sansoni Mar 2015 A1
20150079311 Nakano Mar 2015 A1
20150086316 Greenberg Mar 2015 A1
20150086716 Park et al. Mar 2015 A1
20150087139 O'Neill et al. Mar 2015 A1
20150087154 Guha et al. Mar 2015 A1
20150091057 Xie et al. Apr 2015 A1
20150091134 Amaratunga et al. Apr 2015 A1
20150094470 Sanchez et al. Apr 2015 A1
20150096973 Dunn et al. Apr 2015 A1
20150099065 Canizares et al. Apr 2015 A1
20150099072 Takamure et al. Apr 2015 A1
20150099123 Barbee et al. Apr 2015 A1
20150099342 Tsai Apr 2015 A1
20150099374 Kakimoto et al. Apr 2015 A1
20150099375 Haripin et al. Apr 2015 A1
20150102466 Colinge Apr 2015 A1
20150104574 Lee et al. Apr 2015 A1
20150104575 Takoudis et al. Apr 2015 A1
20150110147 Mizuno Apr 2015 A1
20150110968 Lavoie et al. Apr 2015 A1
20150111374 Bao Apr 2015 A1
20150111395 Hashimoto et al. Apr 2015 A1
20150114295 Kim et al. Apr 2015 A1
20150118009 Hsieh et al. Apr 2015 A1
20150118846 Isii et al. Apr 2015 A1
20150118863 Rathod et al. Apr 2015 A1
20150122180 Chang et al. May 2015 A1
20150125628 Kim et al. May 2015 A1
20150126036 Zhao May 2015 A1
20150132212 Winkler et al. May 2015 A1
20150132953 Nowling et al. May 2015 A1
20150137315 Chen et al. May 2015 A1
20150140210 Jung et al. May 2015 A1
20150144060 Park May 2015 A1
20150147482 Kang et al. May 2015 A1
20150147483 Fukazawa May 2015 A1
20150147488 Choi et al. May 2015 A1
20150147875 Takamure et al. May 2015 A1
20150147877 Jung May 2015 A1
20150152547 Nakamura et al. Jun 2015 A1
20150152553 Popp et al. Jun 2015 A1
20150155140 Lee et al. Jun 2015 A1
20150155177 Zhang et al. Jun 2015 A1
20150155370 Tsai et al. Jun 2015 A1
20150162168 Oehrlien Jun 2015 A1
20150162185 Pore Jun 2015 A1
20150162214 Thompson Jun 2015 A1
20150167159 Halpin et al. Jun 2015 A1
20150167161 Canizares et al. Jun 2015 A1
20150167162 Barik et al. Jun 2015 A1
20150167165 Lindfors Jun 2015 A1
20150167705 Lee et al. Jun 2015 A1
20150170907 Haukka et al. Jun 2015 A1
20150170914 Haukka et al. Jun 2015 A1
20150170945 Segawa et al. Jun 2015 A1
20150170947 Bluck Jun 2015 A1
20150170954 Agarwal Jun 2015 A1
20150170975 Blatchford et al. Jun 2015 A1
20150171177 Cheng et al. Jun 2015 A1
20150174768 Rodnick Jun 2015 A1
20150175467 Denifl et al. Jun 2015 A1
20150176124 Greer et al. Jun 2015 A1
20150176126 Ge et al. Jun 2015 A1
20150179415 Sasaki et al. Jun 2015 A1
20150179501 Jhaveri et al. Jun 2015 A1
20150179564 Lee et al. Jun 2015 A1
20150179640 Kim et al. Jun 2015 A1
20150184287 Tsung et al. Jul 2015 A1
20150184291 Alokozai et al. Jul 2015 A1
20150187559 Sano Jul 2015 A1
20150187568 Pettinger et al. Jul 2015 A1
20150187611 Sato Jul 2015 A1
20150187908 Zhang et al. Jul 2015 A1
20150203961 Ha et al. Jul 2015 A1
20150203967 Dhas et al. Jul 2015 A1
20150211124 Nozawa et al. Jul 2015 A1
20150211125 Yoshikawa et al. Jul 2015 A1
20150217330 Haukka Aug 2015 A1
20150217456 Tsuji et al. Aug 2015 A1
20150218695 Odedra Aug 2015 A1
20150218698 Yoon et al. Aug 2015 A1
20150218700 Nguyen et al. Aug 2015 A1
20150221479 Chen et al. Aug 2015 A1
20150221480 Duan et al. Aug 2015 A1
20150221519 Marks et al. Aug 2015 A1
20150225850 Arora et al. Aug 2015 A1
20150228513 Parkhe et al. Aug 2015 A1
20150228572 Yang et al. Aug 2015 A1
20150228645 Chuang et al. Aug 2015 A1
20150228749 Ando et al. Aug 2015 A1
20150240357 Tachibana et al. Aug 2015 A1
20150240359 Jdira et al. Aug 2015 A1
20150240360 Leeser Aug 2015 A1
20150241787 Yaegashi Aug 2015 A1
20150243522 Kitagaito et al. Aug 2015 A1
20150243542 Yoshihara et al. Aug 2015 A1
20150243545 Tang Aug 2015 A1
20150243563 Lee et al. Aug 2015 A1
20150243658 Joshi et al. Aug 2015 A1
20150247259 Hekmatshoar-Tabari et al. Sep 2015 A1
20150249013 Arghavani et al. Sep 2015 A1
20150255319 Kikuchi et al. Sep 2015 A1
20150255324 Li et al. Sep 2015 A1
20150255385 Lee et al. Sep 2015 A1
20150259790 Newman Sep 2015 A1
20150259801 Matsumoto et al. Sep 2015 A1
20150262828 Brand et al. Sep 2015 A1
20150263033 Aoyama Sep 2015 A1
20150267294 Itatani Sep 2015 A1
20150267295 Hill et al. Sep 2015 A1
20150267297 Shiba Sep 2015 A1
20150267298 Saitou et al. Sep 2015 A1
20150267299 Hawkins et al. Sep 2015 A1
20150267301 Hill et al. Sep 2015 A1
20150270140 Gupta et al. Sep 2015 A1
20150270146 Yoshihara et al. Sep 2015 A1
20150275355 Mallikarjunan et al. Oct 2015 A1
20150275357 Kamakura et al. Oct 2015 A1
20150279665 Zafiropoulo Oct 2015 A1
20150279681 Knoops Oct 2015 A1
20150279682 Nakatani et al. Oct 2015 A1
20150279708 Kobayashi et al. Oct 2015 A1
20150279956 Ozaki et al. Oct 2015 A1
20150280051 Xu Oct 2015 A1
20150284848 Nakano et al. Oct 2015 A1
20150287591 Pore et al. Oct 2015 A1
20150287612 Luere et al. Oct 2015 A1
20150287626 Arai Oct 2015 A1
20150287710 Yun et al. Oct 2015 A1
20150291830 Galbreath et al. Oct 2015 A1
20150292088 Canizares Oct 2015 A1
20150299848 Haukka et al. Oct 2015 A1
20150307982 Firouzdor et al. Oct 2015 A1
20150307989 Lindfors Oct 2015 A1
20150308586 Shugrue et al. Oct 2015 A1
20150311043 Sun et al. Oct 2015 A1
20150311151 Chi et al. Oct 2015 A1
20150303056 Varadarajan et al. Nov 2015 A1
20150315704 Nakano et al. Nov 2015 A1
20150322569 Kilpi et al. Nov 2015 A1
20150325432 Ishizaka Nov 2015 A1
20150332921 Lee et al. Nov 2015 A1
20150340247 Balakrishnan et al. Nov 2015 A1
20150340266 Ngo et al. Nov 2015 A1
20150340500 Brunco Nov 2015 A1
20150340609 Banno et al. Nov 2015 A1
20150343559 Morikazu et al. Dec 2015 A1
20150343741 Shibata et al. Dec 2015 A1
20150345018 Detavernier et al. Dec 2015 A1
20150345022 Yudovsky et al. Dec 2015 A1
20150348755 Han et al. Dec 2015 A1
20150349073 Kang Dec 2015 A1
20150353478 Hoshino et al. Dec 2015 A1
20150354060 Yabe et al. Dec 2015 A1
20150361550 Yabe et al. Dec 2015 A1
20150361553 Murakawa Dec 2015 A1
20150364347 Nguyen et al. Dec 2015 A1
20150364371 Yen Dec 2015 A1
20150364747 Elam et al. Dec 2015 A1
20150367253 Kanyal et al. Dec 2015 A1
20150368798 Kwong Dec 2015 A1
20150371864 Hsu et al. Dec 2015 A1
20150372056 Seong et al. Dec 2015 A1
20150376211 Girard Dec 2015 A1
20150376785 Knaapen et al. Dec 2015 A1
20150380296 Antonelli et al. Dec 2015 A1
20160002776 Nal et al. Jan 2016 A1
20160002786 Gatineau et al. Jan 2016 A1
20160005571 Della Rosa et al. Jan 2016 A1
20160005595 Liu et al. Jan 2016 A1
20160005596 Behera et al. Jan 2016 A1
20160005839 Yieh et al. Jan 2016 A1
20160010208 Huang et al. Jan 2016 A1
20160013022 Ayoub Jan 2016 A1
20160013024 Milligan et al. Jan 2016 A1
20160013086 Yang et al. Jan 2016 A1
20160017493 Dhas Jan 2016 A1
20160020071 Khaja et al. Jan 2016 A1
20160020092 Kang et al. Jan 2016 A1
20160024655 Yudovsky et al. Jan 2016 A1
20160024656 White et al. Jan 2016 A1
20160032453 Qian et al. Feb 2016 A1
20160035542 Hausmann Feb 2016 A1
20160035566 LaVoie Feb 2016 A1
20160035596 Kamiya Feb 2016 A1
20160042954 Sung et al. Feb 2016 A1
20160051964 Tolle et al. Feb 2016 A1
20160056074 Na Feb 2016 A1
20160056156 Ghani et al. Feb 2016 A1
20160060752 Jacques et al. Mar 2016 A1
20160064208 Zafiropoulo et al. Mar 2016 A1
20160064231 Agarwal et al. Mar 2016 A1
20160069613 Colgan et al. Mar 2016 A1
20160071750 de Ridder et al. Mar 2016 A1
20160076949 Sabah et al. Mar 2016 A1
20160079054 Chen et al. Mar 2016 A1
20160085003 Jaiswal Mar 2016 A1
20160086811 Mackedanz et al. Mar 2016 A1
20160087028 Hirota et al. Mar 2016 A1
20160097123 Shugrue et al. Apr 2016 A1
20160099150 Tsai Apr 2016 A1
20160099166 Yudovsky Apr 2016 A1
20160099250 Rabkin et al. Apr 2016 A1
20160102214 Dietz et al. Apr 2016 A1
20160111272 Girard Apr 2016 A1
20160111304 Takahashi et al. Apr 2016 A1
20160111438 Tsutsumi et al. Apr 2016 A1
20160115590 Haukka et al. Apr 2016 A1
20160118224 Kohno et al. Apr 2016 A1
20160133307 Lee et al. May 2016 A1
20160133504 Chu et al. May 2016 A1
20160133628 Xie May 2016 A1
20160141172 Kang May 2016 A1
20160145738 Liu et al. May 2016 A1
20160148800 Henri et al. May 2016 A1
20160148801 Yabe et al. May 2016 A1
20160148806 Henri et al. May 2016 A1
20160148811 Nakatani et al. May 2016 A1
20160148821 Singh May 2016 A1
20160152649 Gordon Jun 2016 A1
20160153088 Tsuji et al. Jun 2016 A1
20160155629 Hawryluk et al. Jun 2016 A1
20160163556 Briggs et al. Jun 2016 A1
20160163558 Hudson et al. Jun 2016 A1
20160163561 Hudson et al. Jun 2016 A1
20160163711 Arndt et al. Jun 2016 A1
20160163972 Swaminathan et al. Jun 2016 A1
20160168699 Fukazawa et al. Jun 2016 A1
20160168704 Choi et al. Jun 2016 A1
20160169766 Ishibashi et al. Jun 2016 A1
20160172189 Tapily Jun 2016 A1
20160172296 Lim et al. Jun 2016 A1
20160175805 Catchpole et al. Jun 2016 A1
20160177448 Ikeda Jun 2016 A1
20160181117 Arghavani et al. Jun 2016 A1
20160181128 Mori Jun 2016 A1
20160181368 Weeks Jun 2016 A1
20160190137 Tsai et al. Jun 2016 A1
20160195331 Hyon et al. Jul 2016 A1
20160196969 Berry et al. Jul 2016 A1
20160196970 Takamure et al. Jul 2016 A1
20160203995 Kanarik et al. Jul 2016 A1
20160204005 Oki et al. Jul 2016 A1
20160204436 Barker et al. Jul 2016 A1
20160211135 Noda et al. Jul 2016 A1
20160211147 Fukazawa Jul 2016 A1
20160211166 Yan et al. Jul 2016 A1
20160215387 Liu et al. Jul 2016 A1
20160217857 Paudel Jul 2016 A1
20160218028 Schaller et al. Jul 2016 A1
20160222504 Haukka et al. Aug 2016 A1
20160222509 Honma Aug 2016 A1
20160222516 Ikeda et al. Aug 2016 A1
20160225588 Shaikh et al. Aug 2016 A1
20160225607 Yamamoto et al. Aug 2016 A1
20160225632 Shaikh et al. Aug 2016 A1
20160237559 Tsuji Aug 2016 A1
20160245704 Osaka et al. Aug 2016 A1
20160256187 Shelton et al. Sep 2016 A1
20160268102 White Sep 2016 A1
20160268107 White Sep 2016 A1
20160273095 Lin et al. Sep 2016 A1
20160273101 Komori et al. Sep 2016 A1
20160273106 Kanjolia et al. Sep 2016 A1
20160273128 Kang Sep 2016 A1
20160276148 Qian et al. Sep 2016 A1
20160276212 Horikoshi Sep 2016 A1
20160279629 Michishita et al. Sep 2016 A1
20160281223 Sowa et al. Sep 2016 A1
20160281230 Varadarajan et al. Sep 2016 A1
20160284517 Saido Sep 2016 A1
20160284542 Noda et al. Sep 2016 A1
20160289828 Shero et al. Oct 2016 A1
20160293398 Danek et al. Oct 2016 A1
20160293609 Jha et al. Oct 2016 A1
20160305015 Nakamura et al. Oct 2016 A1
20160307739 Lee et al. Oct 2016 A1
20160307740 Kim et al. Oct 2016 A1
20160307766 Jongbloed et al. Oct 2016 A1
20160312360 Rasheed et al. Oct 2016 A1
20160314960 Cheng et al. Oct 2016 A1
20160314962 Higashino et al. Oct 2016 A1
20160314964 Tang et al. Oct 2016 A1
20160314967 Tolle Oct 2016 A1
20160315168 Dussarrat et al. Oct 2016 A1
20160334709 Huli et al. Nov 2016 A1
20160336178 Swaminathan et al. Nov 2016 A1
20160336392 Tominaga et al. Nov 2016 A1
20160343612 Wang et al. Nov 2016 A1
20160345384 Zhang et al. Nov 2016 A1
20160351413 Schmidt et al. Dec 2016 A1
20160351747 Forrest et al. Dec 2016 A1
20160358772 Xie Dec 2016 A1
20160362783 Tolle et al. Dec 2016 A1
20160362813 Bao et al. Dec 2016 A1
20160365280 Brink et al. Dec 2016 A1
20160365414 Peng et al. Dec 2016 A1
20160372321 Krishnan et al. Dec 2016 A1
20160372365 Tang et al. Dec 2016 A1
20160372744 Essaki et al. Dec 2016 A1
20160376700 Haukka Dec 2016 A1
20160376701 Takewaki et al. Dec 2016 A1
20160376704 Raisanen Dec 2016 A1
20160379826 Arghavani et al. Dec 2016 A9
20160379851 Swaminathan et al. Dec 2016 A1
20160381732 Moench et al. Dec 2016 A1
20170009347 Jang et al. Jan 2017 A1
20170009367 Harris et al. Jan 2017 A1
20170011889 Winkler et al. Jan 2017 A1
20170011926 Harada et al. Jan 2017 A1
20170011950 Schmotzer Jan 2017 A1
20170018477 Kato Jan 2017 A1
20170018570 Lue et al. Jan 2017 A1
20170022612 Lei et al. Jan 2017 A1
20170025280 Milligan Jan 2017 A1
20170025291 Lin Jan 2017 A1
20170029945 Kamakura Feb 2017 A1
20170032942 Walfried Feb 2017 A1
20170032943 Spaulding et al. Feb 2017 A1
20170032992 Hoechbauer Feb 2017 A1
20170033004 Siew et al. Feb 2017 A1
20170037513 Haukka Feb 2017 A1
20170040146 Huang et al. Feb 2017 A1
20170040164 Wang et al. Feb 2017 A1
20170040198 Lin et al. Feb 2017 A1
20170040206 Schmotzer et al. Feb 2017 A1
20170044664 Dussarrat et al. Feb 2017 A1
20170044665 Shon et al. Feb 2017 A1
20170044666 Jang et al. Feb 2017 A1
20170047446 Margetis et al. Feb 2017 A1
20170051402 Mori Feb 2017 A1
20170051405 Fukazawa et al. Feb 2017 A1
20170051406 Mori et al. Feb 2017 A1
20170051408 Kosuke et al. Feb 2017 A1
20170053811 Fung et al. Feb 2017 A1
20170058402 Wenxu et al. Mar 2017 A1
20170062204 Suzuki et al. Mar 2017 A1
20170062209 Shiba Mar 2017 A1
20170062210 Visser et al. Mar 2017 A1
20170062218 Duan et al. Mar 2017 A1
20170062224 Fu et al. Mar 2017 A1
20170062258 Bluck Mar 2017 A1
20170069725 Bhimarasetti et al. Mar 2017 A1
20170091320 Psota et al. Mar 2017 A1
20170092469 Kurita et al. Mar 2017 A1
20170092531 Coomer Mar 2017 A1
20170092535 Kimihiko et al. Mar 2017 A1
20170092847 Kim et al. Mar 2017 A1
20170100742 Pore et al. Apr 2017 A1
20170102612 Meyers et al. Apr 2017 A1
20170103907 Chu et al. Apr 2017 A1
20170104061 Peng et al. Apr 2017 A1
20170107621 Suemori Apr 2017 A1
20170110313 Tang et al. Apr 2017 A1
20170110601 Blomberg et al. Apr 2017 A1
20170114453 Chen et al. Apr 2017 A1
20170114460 Kim et al. Apr 2017 A1
20170114464 Iriuda et al. Apr 2017 A1
20170114465 Kalutarage et al. Apr 2017 A1
20170117141 Zhu et al. Apr 2017 A1
20170117202 Tang et al. Apr 2017 A1
20170117203 Tang et al. Apr 2017 A1
20170117222 Kim et al. Apr 2017 A1
20170121845 Grutzmacher et al. May 2017 A1
20170130332 Stumpf May 2017 A1
20170136578 Yoshimura May 2017 A1
20170140924 Suzuki et al. May 2017 A1
20170140925 Suzuki et al. May 2017 A1
20170145564 Bertuch et al. May 2017 A1
20170146909 Smith et al. May 2017 A1
20170148918 Ye et al. May 2017 A1
20170152968 Raj et al. Jun 2017 A1
20170154757 Winkler et al. Jun 2017 A1
20170154770 Margetis et al. Jun 2017 A1
20170154895 Huo Jun 2017 A1
20170159177 Monsma et al. Jun 2017 A1
20170165624 Ravoin et al. Jun 2017 A1
20170167023 Proia et al. Jun 2017 A1
20170170033 Okabe et al. Jun 2017 A1
20170173696 Sheinman Jun 2017 A1
20170175290 Chen et al. Jun 2017 A1
20170178899 Kabansky et al. Jun 2017 A1
20170178939 Omori Jun 2017 A1
20170178942 Sakata et al. Jun 2017 A1
20170179036 Chen et al. Jun 2017 A1
20170186621 Zaitsu Jun 2017 A1
20170186754 Blomberg et al. Jun 2017 A1
20170191159 Polyak et al. Jul 2017 A1
20170191164 Alokozai et al. Jul 2017 A1
20170191685 Ronne et al. Jul 2017 A1
20170191861 Rondano et al. Jul 2017 A1
20170196562 Shelton Jul 2017 A1
20170200622 Shiokawa et al. Jul 2017 A1
20170204516 Nguyen et al. Jul 2017 A1
20170213960 de Araujo et al. Jul 2017 A1
20170216762 Shugrue et al. Aug 2017 A1
20170218515 Shin et al. Aug 2017 A1
20170222008 Hsu et al. Aug 2017 A1
20170226636 Xiao Aug 2017 A1
20170232457 Toshiki et al. Aug 2017 A1
20170233868 Donoso et al. Aug 2017 A1
20170243734 Ishikawa et al. Aug 2017 A1
20170250068 Ishikawa et al. Aug 2017 A1
20170250075 Caymax et al. Aug 2017 A1
20170253968 Yahata Sep 2017 A1
20170256393 Kim et al. Sep 2017 A1
20170256417 Chou Sep 2017 A1
20170256429 Lawson et al. Sep 2017 A1
20170260649 Coomer Sep 2017 A1
20170263437 Li et al. Sep 2017 A1
20170263438 Li et al. Sep 2017 A1
20170267527 Kim et al. Sep 2017 A1
20170267531 Huakka Sep 2017 A1
20170271143 Fukiage et al. Sep 2017 A1
20170271191 Yoo et al. Sep 2017 A1
20170271256 Inatsuka Sep 2017 A1
20170271501 Avci et al. Sep 2017 A1
20170278705 Murakami et al. Sep 2017 A1
20170278707 Margetis et al. Sep 2017 A1
20170283312 Lee et al. Oct 2017 A1
20170283313 Lee et al. Oct 2017 A1
20170287681 Nitadori et al. Oct 2017 A1
20170287744 Kobayashi et al. Oct 2017 A1
20170294318 Yoshida et al. Oct 2017 A1
20170294339 Tapily Oct 2017 A1
20170294499 Lu et al. Oct 2017 A1
20170301519 Naim et al. Oct 2017 A1
20170301542 Maes et al. Oct 2017 A1
20170303382 Smith et al. Oct 2017 A1
20170306478 Raisanen et al. Oct 2017 A1
20170306479 Raisanen et al. Oct 2017 A1
20170306480 Zhu et al. Oct 2017 A1
20170306494 Lin et al. Oct 2017 A1
20170309490 Ogawa Oct 2017 A1
20170309528 Bansal et al. Oct 2017 A1
20170314125 Fenwick et al. Nov 2017 A1
20170316933 Xie et al. Nov 2017 A1
20170316940 Ishikawa et al. Nov 2017 A1
20170317194 Tang et al. Nov 2017 A1
20170323783 Sanchez et al. Nov 2017 A1
20170323784 Faguet et al. Nov 2017 A1
20170338111 Takamure et al. Nov 2017 A1
20170338133 Tan et al. Nov 2017 A1
20170338134 Tan et al. Nov 2017 A1
20170338192 Lee et al. Nov 2017 A1
20170342559 Fukazawa et al. Nov 2017 A1
20170343896 Darling et al. Nov 2017 A1
20170345674 Ranjan et al. Nov 2017 A1
20170350688 Boyd et al. Dec 2017 A1
20170358445 O'Shaugnessy et al. Dec 2017 A1
20170358482 Chen et al. Dec 2017 A1
20170358670 Kub et al. Dec 2017 A1
20170362710 Ge et al. Dec 2017 A1
20170365467 Shimamoto et al. Dec 2017 A1
20170369993 Sun Dec 2017 A1
20170372884 Margetis et al. Dec 2017 A1
20170372919 Manna et al. Dec 2017 A1
20170373188 Mochizuki et al. Dec 2017 A1
20180005814 Kumar et al. Jan 2018 A1
20180010243 Lee et al. Jan 2018 A1
20180010247 Niskanen Jan 2018 A1
20180011052 Andersson et al. Jan 2018 A1
20180012792 Zhu Jan 2018 A1
20180019165 Baum et al. Jan 2018 A1
20180025890 Choi et al. Jan 2018 A1
20180025905 Yamazaki et al. Jan 2018 A1
20180025907 Kalutarage et al. Jan 2018 A1
20180025939 Kovalgin et al. Jan 2018 A1
20180033606 Pore Feb 2018 A1
20180033614 Chandra et al. Feb 2018 A1
20180033616 Masaru Feb 2018 A1
20180033625 Yoo Feb 2018 A1
20180033645 Saido et al. Feb 2018 A1
20180033674 Jeong Feb 2018 A1
20180033679 Pore Feb 2018 A1
20180040746 Johnson et al. Feb 2018 A1
20180044800 Hendrix et al. Feb 2018 A1
20180047591 Ogo Feb 2018 A1
20180047621 Armini Feb 2018 A1
20180047749 Kim Feb 2018 A1
20180053660 Jandl et al. Feb 2018 A1
20180053769 Kim et al. Feb 2018 A1
20180057931 Cha et al. Mar 2018 A1
20180057934 Cooper et al. Mar 2018 A1
20180057937 Lee et al. Mar 2018 A1
20180061628 Ou et al. Mar 2018 A1
20180061851 Ootsuka Mar 2018 A1
20180068844 Chen et al. Mar 2018 A1
20180068862 Terakura et al. Mar 2018 A1
20180068950 Bruley et al. Mar 2018 A1
20180069019 Kim et al. Mar 2018 A1
20180076021 Fukushima et al. Mar 2018 A1
20180083435 Redler Mar 2018 A1
20180087152 Yoshida Mar 2018 A1
20180087154 Pore et al. Mar 2018 A1
20180087156 Kohei et al. Mar 2018 A1
20180090583 Choi et al. Mar 2018 A1
20180094350 Verghese et al. Apr 2018 A1
20180094351 Verghese et al. Apr 2018 A1
20180096821 Lubomirsky Apr 2018 A1
20180096844 Dutartre et al. Apr 2018 A1
20180097076 Cheng et al. Apr 2018 A1
20180102276 Zhu et al. Apr 2018 A1
20180105701 Larsson et al. Apr 2018 A1
20180105930 Kang et al. Apr 2018 A1
20180108587 Jiang Apr 2018 A1
20180114680 Kim et al. Apr 2018 A1
20180119283 Fukazawa May 2018 A1
20180122642 Raisanen May 2018 A1
20180122709 Xie May 2018 A1
20180122959 Calka et al. May 2018 A1
20180126379 Ramsey et al. May 2018 A1
20180127876 Tolle et al. May 2018 A1
20180130652 Pettinger et al. May 2018 A1
20180130701 Chun May 2018 A1
20180135172 Nogami et al. May 2018 A1
20180135173 Kim et al. May 2018 A1
20180135179 Toshiyuki et al. May 2018 A1
20180138036 Baldesseroni et al. May 2018 A1
20180142353 Tetsuya et al. May 2018 A1
20180142357 Yoshikazu May 2018 A1
20180148832 Chatterjee et al. May 2018 A1
20180151346 Blanquart May 2018 A1
20180151358 Margetis et al. May 2018 A1
20180151588 Tsutsumi et al. May 2018 A1
20180155832 Hamalainen et al. Jun 2018 A1
20180155836 Arai et al. Jun 2018 A1
20180158688 Chen Jun 2018 A1
20180158716 Konkola et al. Jun 2018 A1
20180163305 Batzer et al. Jun 2018 A1
20180166258 Kim et al. Jun 2018 A1
20180166315 Coomer Jun 2018 A1
20180171472 Yamada et al. Jun 2018 A1
20180171475 Maes et al. Jun 2018 A1
20180171477 Kim et al. Jun 2018 A1
20180172172 Oehler et al. Jun 2018 A1
20180173109 Gronheid et al. Jun 2018 A1
20180174801 Chen et al. Jun 2018 A1
20180174826 Raaijmakers et al. Jun 2018 A1
20180179625 Takagi et al. Jun 2018 A1
20180180509 Sawachi et al. Jun 2018 A1
20180182613 Blanquart et al. Jun 2018 A1
20180182618 Blanquart et al. Jun 2018 A1
20180187303 Gatineau et al. Jul 2018 A1
20180189923 Zhong et al. Jul 2018 A1
20180190496 Ashihara et al. Jul 2018 A1
20180195174 Kim et al. Jul 2018 A1
20180204733 Sherpa et al. Jul 2018 A1
20180209042 Wu et al. Jul 2018 A1
20180211834 Takamure et al. Jul 2018 A1
20180223047 Xiao et al. Aug 2018 A1
20180223429 Fukazawa et al. Aug 2018 A1
20180230595 Suda et al. Aug 2018 A1
20180233372 Vayrynen et al. Aug 2018 A1
20180245215 Lei et al. Aug 2018 A1
20180246101 Sasisekharan et al. Aug 2018 A1
20180254211 Kosakai et al. Sep 2018 A1
20180258532 Kato et al. Sep 2018 A1
20180265294 Hayashi Sep 2018 A1
20180265972 Firouzdor et al. Sep 2018 A1
20180265973 Firouzdor et al. Sep 2018 A1
20180269057 Lei et al. Sep 2018 A1
20180274098 Takagi et al. Sep 2018 A1
20180277338 Fukada et al. Sep 2018 A1
20180277423 Lottes Sep 2018 A1
20180286638 Susa Oct 2018 A1
20180286663 Kobayashi et al. Oct 2018 A1
20180286668 Baum et al. Oct 2018 A1
20180286672 Van Aerde et al. Oct 2018 A1
20180286673 Murakami Oct 2018 A1
20180286675 Blomberg et al. Oct 2018 A1
20180286711 Oosterlaken et al. Oct 2018 A1
20180294187 Thombare et al. Oct 2018 A1
20180305247 Feng et al. Oct 2018 A1
20180308686 Xie et al. Oct 2018 A1
20180308701 Na et al. Oct 2018 A1
20180312966 Chan et al. Nov 2018 A1
20180315597 Varadarajan et al. Nov 2018 A1
20180315838 Morrow et al. Nov 2018 A1
20180323055 Woodruff et al. Nov 2018 A1
20180323056 Woodruff et al. Nov 2018 A1
20180323059 Bhargava et al. Nov 2018 A1
20180325414 Marashdeh et al. Nov 2018 A1
20180327892 Wu et al. Nov 2018 A1
20180327898 Wu et al. Nov 2018 A1
20180327899 Wu et al. Nov 2018 A1
20180331117 Titus et al. Nov 2018 A1
20180337087 Sandhu et al. Nov 2018 A1
20180350587 Jia et al. Dec 2018 A1
20180350588 Raisanen et al. Dec 2018 A1
20180350620 Zaitsu et al. Dec 2018 A1
20180350653 Jeong et al. Dec 2018 A1
20180355480 Kondo Dec 2018 A1
20180355484 Lansalot-Matras et al. Dec 2018 A1
20180358222 Venkatasubramanian et al. Dec 2018 A1
20180363131 Lee et al. Dec 2018 A1
20180363139 Rajavelu et al. Dec 2018 A1
20180366314 Niskanen et al. Dec 2018 A1
20180371610 Banerjee et al. Dec 2018 A1
20190003050 Dezelah et al. Jan 2019 A1
20190003052 Shero et al. Jan 2019 A1
20190006797 Paynter et al. Jan 2019 A1
20190013199 Bhargava et al. Jan 2019 A1
20190019670 Lin et al. Jan 2019 A1
20190019714 Kosakai et al. Jan 2019 A1
20190027573 Zhu et al. Jan 2019 A1
20190027583 Margetis et al. Jan 2019 A1
20190027584 Margetis et al. Jan 2019 A1
20190027605 Tolle et al. Jan 2019 A1
20190032209 Huggare Jan 2019 A1
20190032998 Jdira et al. Jan 2019 A1
20190035605 Suzuki Jan 2019 A1
20190035647 Lee et al. Jan 2019 A1
20190035698 Tanaka Jan 2019 A1
20190035810 Chun et al. Jan 2019 A1
20190040529 Verbaas et al. Feb 2019 A1
20190046947 Strohm et al. Feb 2019 A1
20190051544 Verbaas Feb 2019 A1
20190051548 den Hartog Besselink et al. Feb 2019 A1
20190051555 Hill et al. Feb 2019 A1
20190057857 Ishikawa et al. Feb 2019 A1
20190057858 Hausmann et al. Feb 2019 A1
20190058043 Dewey et al. Feb 2019 A1
20190062907 Kim et al. Feb 2019 A1
20190062917 Sung et al. Feb 2019 A1
20190066978 Um et al. Feb 2019 A1
20190066997 Klaver et al. Feb 2019 A1
20190067003 Zope et al. Feb 2019 A1
20190067004 Kohen et al. Feb 2019 A1
20190067014 Shrestha et al. Feb 2019 A1
20190067016 Zhu et al. Feb 2019 A1
20190067085 Kweskin Feb 2019 A1
20190067094 Zope et al. Feb 2019 A1
20190067095 Zhu et al. Feb 2019 A1
20190078206 Wu et al. Mar 2019 A1
20190080903 Abel et al. Mar 2019 A1
20190081072 Chun et al. Mar 2019 A1
20190085451 Lei et al. Mar 2019 A1
20190086807 Kachel et al. Mar 2019 A1
20190088555 Xie et al. Mar 2019 A1
20190089143 Malone et al. Mar 2019 A1
20190093221 Jdira et al. Mar 2019 A1
20190096708 Sharma Mar 2019 A1
20190106788 Hawkins et al. Apr 2019 A1
20190109002 Mattinen et al. Apr 2019 A1
20190109009 Longrie et al. Apr 2019 A1
20190109043 Wang et al. Apr 2019 A1
20190112711 Lyons et al. Apr 2019 A1
20190115206 Kim et al. Apr 2019 A1
20190115237 den Hartog Besselink et al. Apr 2019 A1
20190115451 Lee et al. Apr 2019 A1
20190131124 Kohen et al. May 2019 A1
20190140067 Zhu et al. May 2019 A1
20190148177 Yin et al. May 2019 A1
20190148224 Kuroda et al. May 2019 A1
20190148398 Kim et al. May 2019 A1
20190148556 Wang et al. May 2019 A1
20190153593 Zhu et al. May 2019 A1
20190157054 White et al. May 2019 A1
20190157067 Bhuyan et al. May 2019 A1
20190157159 Wen May 2019 A1
20190163056 Maes et al. May 2019 A1
20190164763 Raisanen et al. May 2019 A1
20190172701 Jia et al. Jun 2019 A1
20190172714 Bobek et al. Jun 2019 A1
20190176435 Bellman et al. Jun 2019 A1
20190181002 Iijima et al. Jun 2019 A1
20190185999 Shanbhag et al. Jun 2019 A1
20190189447 Kamp et al. Jun 2019 A1
20190198297 Aramaki et al. Jun 2019 A1
20190198359 Kamimura et al. Jun 2019 A1
20190198571 Xu et al. Jun 2019 A1
20190204029 Tanabe Jul 2019 A1
20190211450 Adachi et al. Jul 2019 A1
20190217277 Jeon et al. Jul 2019 A1
20190221433 Raisanen et al. Jul 2019 A1
20190229008 Rokkam et al. Jul 2019 A1
20190233446 MacDonald et al. Aug 2019 A1
20190233940 Guo et al. Aug 2019 A1
20190237325 Wang et al. Aug 2019 A1
20190237327 Kohen et al. Aug 2019 A1
20190244803 Suzuki Aug 2019 A1
20190249300 Hatanpaa et al. Aug 2019 A1
20190249303 Kuroda et al. Aug 2019 A1
20190252195 Haukka Aug 2019 A1
20190252196 Vayrynen et al. Aug 2019 A1
20190259611 Nakano et al. Aug 2019 A1
20190259612 Nozawa et al. Aug 2019 A1
20190264324 Shugrue et al. Aug 2019 A1
20190271078 Raisanen et al. Sep 2019 A1
20190272981 Xu et al. Sep 2019 A1
20190272993 Mattinen et al. Sep 2019 A1
20190273133 Agrawal et al. Sep 2019 A1
20190276934 Verghese et al. Sep 2019 A1
20190287769 Blomberg et al. Sep 2019 A1
20190295837 Pore et al. Sep 2019 A1
20190301014 Pierreux et al. Oct 2019 A1
20190304776 Choi Oct 2019 A1
20190304780 Kohen et al. Oct 2019 A1
20190304790 Mousa et al. Oct 2019 A1
20190304821 Pierreux et al. Oct 2019 A1
20190311894 Girard et al. Oct 2019 A1
20190311897 Kang et al. Oct 2019 A1
20190311940 Choi et al. Oct 2019 A1
20190318910 Mori Oct 2019 A1
20190318923 Blanquart et al. Oct 2019 A1
20190319100 Chen et al. Oct 2019 A1
20190322812 Wojtecki et al. Oct 2019 A1
20190330740 Klaver Oct 2019 A1
20190333753 Ueda et al. Oct 2019 A1
20190333761 Tois et al. Oct 2019 A1
20190338418 Goradia et al. Nov 2019 A1
20190346300 Kim et al. Nov 2019 A1
20190348261 Lin et al. Nov 2019 A1
20190348273 Tang et al. Nov 2019 A1
20190348515 Li et al. Nov 2019 A1
20190362970 Wang et al. Nov 2019 A1
20190362989 Reuter et al. Nov 2019 A1
20190363006 Min Nov 2019 A1
20190363015 Cheng et al. Nov 2019 A1
20190368040 Kachel et al. Dec 2019 A1
20190368041 Sreeram et al. Dec 2019 A1
20190371594 Niskanen et al. Dec 2019 A1
20190371640 Raisanen et al. Dec 2019 A1
20190375638 Haukka Dec 2019 A1
20190376180 Niskanen Dec 2019 A1
20190378711 Suzuki et al. Dec 2019 A1
20190378916 Tang et al. Dec 2019 A1
20190385907 Gottheim et al. Dec 2019 A1
20190390338 Raisanen et al. Dec 2019 A1
20190390343 Min et al. Dec 2019 A1
20190393304 Guillorn et al. Dec 2019 A1
20190393308 Lo et al. Dec 2019 A1
20200002811 Sreeram et al. Jan 2020 A1
20200002812 Lee et al. Jan 2020 A1
20200012081 Komai Jan 2020 A1
20200013612 Blanquart et al. Jan 2020 A1
20200013613 Blanquart Jan 2020 A1
20200013626 Longrie et al. Jan 2020 A1
20200013629 de Roest et al. Jan 2020 A1
20200018421 Shugrue Jan 2020 A1
20200035489 Huang et al. Jan 2020 A1
20200040458 Ma et al. Feb 2020 A1
20200048768 Wiegers et al. Feb 2020 A1
20200052056 Park et al. Feb 2020 A1
20200052089 Yu et al. Feb 2020 A1
20200056282 Raisanen et al. Feb 2020 A1
20200056286 Shero et al. Feb 2020 A1
20200058469 Ranjan et al. Feb 2020 A1
20200063262 Katou et al. Feb 2020 A1
20200064737 de Roest Feb 2020 A1
20200066512 Tois et al. Feb 2020 A1
20200066552 Susa Feb 2020 A1
20200080200 Um et al. Mar 2020 A1
20200083054 Väyrynen et al. Mar 2020 A1
20200083375 Tolle et al. Mar 2020 A1
20200083469 Lhullier Mar 2020 A1
20200102649 Reed Apr 2020 A1
20200102653 Muralidhar et al. Apr 2020 A1
20200105579 Zhu Apr 2020 A1
20200105594 Cheng et al. Apr 2020 A1
20200105895 Tang et al. Apr 2020 A1
20200109472 Um et al. Apr 2020 A1
20200111669 Zaitsu et al. Apr 2020 A1
20200111690 Oosterlaken Apr 2020 A1
20200118811 Utsuno et al. Apr 2020 A1
20200118815 Fukazawa et al. Apr 2020 A1
20200118817 Blomberg et al. Apr 2020 A1
20200119038 Hopkins et al. Apr 2020 A1
20200126771 Roh et al. Apr 2020 A1
20200126840 Roh et al. Apr 2020 A1
20200131634 Gao et al. Apr 2020 A1
20200135512 Oosterlaken et al. Apr 2020 A1
20200135915 Savant et al. Apr 2020 A1
20200140995 Blanquart et al. May 2020 A1
20200141003 Lee et al. May 2020 A1
20200144058 Kohen May 2020 A1
20200152473 Tapily et al. May 2020 A1
20200152750 Morrow et al. May 2020 A1
20200161129 Mattinen et al. May 2020 A1
20200161438 Tang et al. May 2020 A1
20200168485 Fluit May 2020 A1
20200279758 Sharma May 2020 A1
20200173020 Huggare Jun 2020 A1
20200176244 Lee et al. Jun 2020 A1
20200176246 Huotari et al. Jun 2020 A1
20200181770 Longrie et al. Jun 2020 A1
20200185249 Rice et al. Jun 2020 A1
20200185257 Nishiwaki Jun 2020 A1
20200194253 Banerjee et al. Jun 2020 A1
20200194268 Sharma Jun 2020 A1
20200203157 Su Jun 2020 A1
20200224309 Sreeram et al. Jul 2020 A1
20200224311 Niskanen et al. Jul 2020 A1
20200227243 Kim et al. Jul 2020 A1
20200227250 Pore et al. Jul 2020 A1
20200227325 Xie et al. Jul 2020 A1
20200232096 Hatanpää et al. Jul 2020 A1
20200234989 Jeong Jul 2020 A1
20200251328 Zaitsu et al. Aug 2020 A1
20200258766 Garssen et al. Aug 2020 A1
20200266057 Lee et al. Aug 2020 A1
20200266097 Liu et al. Aug 2020 A1
20200266098 Pore et al. Aug 2020 A1
20200266208 Kim et al. Aug 2020 A1
20200270749 Li et al. Aug 2020 A1
20200270752 Pierreux et al. Aug 2020 A1
20200273728 Benjaminson et al. Aug 2020 A1
20200273729 Verbaas et al. Aug 2020 A1
20200279721 White et al. Sep 2020 A1
20200283893 Byun et al. Sep 2020 A1
20200283894 Lehn et al. Sep 2020 A1
20200284467 Lindeboom et al. Sep 2020 A1
20200286725 Sharma et al. Sep 2020 A1
20200286726 Shero et al. Sep 2020 A1
20200294789 Woodruff et al. Sep 2020 A1
20200303180 Kim et al. Sep 2020 A1
20200303196 Chen et al. Sep 2020 A1
20200309455 Fluit Oct 2020 A1
20200312652 Kang et al. Oct 2020 A1
20200312681 Tanaka et al. Oct 2020 A1
20200318237 Fukazawa Oct 2020 A1
20200321209 Ishikawa et al. Oct 2020 A1
20200332416 Fluit Oct 2020 A1
20200340113 Hatanpää et al. Oct 2020 A1
20200340138 Tolle et al. Oct 2020 A1
20200343134 Kovalgin et al. Oct 2020 A1
20200343358 Zhu et al. Oct 2020 A1
20200350193 Garssen Nov 2020 A1
20200354836 Yednak et al. Nov 2020 A1
20200355296 Shugrue et al. Nov 2020 A1
20200357631 Ueda Nov 2020 A1
20200365391 Blanquart et al. Nov 2020 A1
20200365433 de Ridder et al. Nov 2020 A1
20200365434 de Ridder Nov 2020 A1
20200365444 Hill et al. Nov 2020 A1
20200373152 Blanquart Nov 2020 A1
20200385861 Deye et al. Dec 2020 A1
20200385867 Kim et al. Dec 2020 A1
20200385868 Kim et al. Dec 2020 A1
20200395199 Miyama Dec 2020 A1
20200395209 Yoshimoto et al. Dec 2020 A1
20200395444 Lo et al. Dec 2020 A1
20200402846 Collins et al. Dec 2020 A1
20210002762 Jun et al. Jan 2021 A1
20210005449 Blanquart et al. Jan 2021 A1
20210005450 Mattinen et al. Jan 2021 A1
20210005723 Tang et al. Jan 2021 A1
20210013010 Yoshikawa et al. Jan 2021 A1
20210013034 Wu et al. Jan 2021 A1
20210013037 Sun et al. Jan 2021 A1
20210013042 Väyrynen et al. Jan 2021 A1
20210013085 Roh et al. Jan 2021 A1
20210020429 Khazaka et al. Jan 2021 A1
20210020431 Blanquart et al. Jan 2021 A1
20210020432 Blanquart Jan 2021 A1
20210020467 Sekiguchi Jan 2021 A1
20210020470 Lee Jan 2021 A1
20210028021 Mousa et al. Jan 2021 A1
20210017648 Kubota et al. Feb 2021 A1
20210032754 White et al. Feb 2021 A1
20210033977 Raaijmakers et al. Feb 2021 A1
20210035785 Jeong et al. Feb 2021 A1
20210035786 Jeong et al. Feb 2021 A1
20210035802 Tolle et al. Feb 2021 A1
20210035824 de Ridder Feb 2021 A1
20210035839 de Ridder Feb 2021 A1
20210035840 de Ridder et al. Feb 2021 A1
20210035841 Fluit Feb 2021 A1
20210035842 de Ridder Feb 2021 A1
20210035854 Yoo et al. Feb 2021 A1
20210040613 White et al. Feb 2021 A1
20210040615 White et al. Feb 2021 A1
20210043444 Blanquart Feb 2021 A1
20210050231 Oosterlaken Feb 2021 A1
20210054500 Zope et al. Feb 2021 A1
20210054504 Wang et al. Feb 2021 A1
20210057214 Kengoyama et al. Feb 2021 A1
20210057223 Stevens et al. Feb 2021 A1
20210057275 Pierreux et al. Feb 2021 A1
20210057570 Lin et al. Feb 2021 A1
20210066075 Zhang et al. Mar 2021 A1
20210066079 Lima et al. Mar 2021 A1
20210066080 Mattinen et al. Mar 2021 A1
20210066083 Haukka Mar 2021 A1
20210066084 Raisanen et al. Mar 2021 A1
20210070783 Odedra et al. Mar 2021 A1
20210071296 Watarai et al. Mar 2021 A1
20210071298 Maes et al. Mar 2021 A1
20210074527 Lee et al. Mar 2021 A1
20210082692 Kikuchi Mar 2021 A1
20210090878 Kang et al. Mar 2021 A1
20210095372 Minjauw et al. Apr 2021 A1
20210102289 Tsuji et al. Apr 2021 A1
20210102290 Acosta et al. Apr 2021 A1
20210102292 Lin et al. Apr 2021 A1
20210104384 Parkhe Apr 2021 A1
20210104399 Kuroda et al. Apr 2021 A1
20210108328 Yanagisawa Apr 2021 A1
20210111025 Zyulkov et al. Apr 2021 A1
20210111053 De Ridder Apr 2021 A1
20210118667 Fukazawa et al. Apr 2021 A1
20210118679 Lima et al. Apr 2021 A1
20210118687 Wang et al. Apr 2021 A1
20210125827 Khazaka et al. Apr 2021 A1
20210125832 Bhatnagar Apr 2021 A1
20210134588 Kohen et al. May 2021 A1
20210134959 Lima et al. May 2021 A1
20210140043 Thombare et al. May 2021 A1
20210143003 Fukuda et al. May 2021 A1
20210151315 Pierreux et al. May 2021 A1
20210151348 Utsuno et al. May 2021 A1
20210151352 Zope et al. May 2021 A1
20210156024 Roh et al. May 2021 A1
20210156030 Shugrue May 2021 A1
20210159077 Longrie et al. May 2021 A1
20210166910 Kim et al. Jun 2021 A1
20210166924 Moon et al. Jun 2021 A1
20210166925 Moon et al. Jun 2021 A1
20210166940 Nozawa Jun 2021 A1
20210172064 Moon Jun 2021 A1
20210175052 Takahashi et al. Jun 2021 A1
20210180184 Verni et al. Jun 2021 A1
20210180188 Kim et al. Jun 2021 A1
20210180189 Shugrue et al. Jun 2021 A1
20210193458 Salmi et al. Jun 2021 A1
20210205758 Kimtee et al. Jul 2021 A1
20210207269 Huang et al. Jul 2021 A1
20210207270 de Ridder et al. Jul 2021 A1
20210210373 Singu et al. Jul 2021 A1
20210214842 Yoon et al. Jul 2021 A1
20210225615 Yoshida Jul 2021 A1
20210225622 Shoji Jul 2021 A1
20210225642 Utsuno et al. Jul 2021 A1
20210225643 Kuroda et al. Jul 2021 A1
20210230744 Kimtee et al. Jul 2021 A1
20210230746 Shiba Jul 2021 A1
20210233772 Zhu et al. Jul 2021 A1
20210238736 Butail et al. Aug 2021 A1
20210238742 Susa et al. Aug 2021 A1
20210239614 Muralidhar et al. Aug 2021 A1
20210242011 Shero et al. Aug 2021 A1
20210246556 Mori Aug 2021 A1
20210247693 Maes et al. Aug 2021 A1
20210249303 Blanquart Aug 2021 A1
20210254216 Mori et al. Aug 2021 A1
20210254238 Khazaka et al. Aug 2021 A1
20210257213 Kikuchi et al. Aug 2021 A1
20210257509 Nishiwaki Aug 2021 A1
20210265134 Singh et al. Aug 2021 A1
20210265158 Kaneko Aug 2021 A1
20210268554 Mori Sep 2021 A1
20210269914 Väyrynen et al. Sep 2021 A1
20210272821 Oosterlaken Sep 2021 A1
20210273109 Yamazaki et al. Sep 2021 A1
20210280448 Ganguli et al. Sep 2021 A1
20210285102 Yoon et al. Sep 2021 A1
20210287878 Um et al. Sep 2021 A1
20210287912 Shiba et al. Sep 2021 A1
20210287928 Kim et al. Sep 2021 A1
20210288476 Wei Sep 2021 A1
20210292902 Kajbafvala et al. Sep 2021 A1
20210296130 Longrie et al. Sep 2021 A1
20210296144 Lin et al. Sep 2021 A1
20210310125 Ma et al. Oct 2021 A1
20210313150 Kang et al. Oct 2021 A1
20210313167 Pore et al. Oct 2021 A1
20210313170 Suzuki Oct 2021 A1
20210313178 Nakano Oct 2021 A1
20210313182 Zhu et al. Oct 2021 A1
20210317576 Väyrynen et al. Oct 2021 A1
20210319982 Kim et al. Oct 2021 A1
20210320003 Sugiura et al. Oct 2021 A1
20210320010 Wang et al. Oct 2021 A1
20210320020 Oosterlaken et al. Oct 2021 A1
20210324510 Kuwano et al. Oct 2021 A1
20210324518 de Ridder Oct 2021 A1
20210327704 Kajbafvala et al. Oct 2021 A1
20210327714 Lee et al. Oct 2021 A1
20210327715 Xie et al. Oct 2021 A1
20210328036 Li et al. Oct 2021 A1
20210041284 Yednak Nov 2021 A1
Foreign Referenced Citations (378)
Number Date Country
520629 Jun 2019 AT
1186873 Jul 1998 CN
2588350 Nov 2003 CN
1563483 Jan 2005 CN
1655362 Aug 2005 CN
1664987 Sep 2005 CN
1825535 Aug 2006 CN
101047143 Oct 2007 CN
101142012 Mar 2008 CN
101609858 Dec 2009 CN
101681873 Mar 2010 CN
101308794 Sep 2010 CN
102094183 Jun 2011 CN
102383106 Mar 2012 CN
103014846 Apr 2013 CN
102539019 Sep 2013 CN
103515222 Jan 2014 CN
102373440 Jul 2014 CN
203721699 Jul 2014 CN
104244620 Dec 2014 CN
104307264 Jan 2015 CN
104498895 Apr 2015 CN
104517892 Apr 2015 CN
204629865 Sep 2015 CN
105253917 Jan 2016 CN
205448240 Aug 2016 CN
104342637 Feb 2017 CN
206145834 May 2017 CN
104233226 Jun 2017 CN
106895521 Jun 2017 CN
104201108 Nov 2017 CN
104630735 Dec 2017 CN
107675144 Feb 2018 CN
108389798 Aug 2018 CN
106011785 Oct 2018 CN
108910843 Nov 2018 CN
109000352 Dec 2018 CN
8902307 Aug 1989 DE
3836696 Dec 1989 DE
3626724 Jun 1994 DE
10133013 Jan 2003 DE
102008052750 Jun 2009 DE
0058571 Aug 1982 EP
0499004 Aug 1992 EP
0634785 Jan 1995 EP
0550058 Nov 1998 EP
0887632 Dec 1998 EP
678909 Jul 1999 EP
1061567 Dec 2000 EP
1889817 Feb 2008 EP
2036600 Mar 2009 EP
2426233 Jul 2012 EP
686869 Jul 1930 FR
1408266 Aug 1965 FR
2233614 Jan 1975 FR
2517790 Jun 1983 FR
2610007 Aug 1990 FR
2708624 Feb 1995 FR
400010 Oct 1933 GB
752-277 Jul 1956 GB
1186889 Jun 1966 GB
1514921 Jun 1978 GB
2051875 Jan 1981 GB
58-19462 Apr 1983 JP
S5979545 May 1984 JP
S59127847 Jul 1984 JP
59-211779 Nov 1984 JP
S60110133 Jun 1985 JP
61038863 Feb 1986 JP
S62237236 Oct 1987 JP
S63136532 Jun 1988 JP
H0165766 Jun 1989 JP
H01185176 Jul 1989 JP
H01-296613 Nov 1989 JP
H01-307229 Dec 1989 JP
H01313954 Dec 1989 JP
H02-93071 Apr 1990 JP
H02-185038 Jul 1990 JP
H02-217469 Aug 1990 JP
H03-044472 Feb 1991 JP
H03-155625 Jul 1991 JP
H03211753 Sep 1991 JP
H03-248427 Nov 1991 JP
H04-29313 Jan 1992 JP
H04-115531 Apr 1992 JP
H05-6880 Jan 1993 JP
H05-23079 Mar 1993 JP
H05-118928 May 1993 JP
H05-171446 Jul 1993 JP
H05-291142 Nov 1993 JP
H06-053210 Feb 1994 JP
H06-84888 Mar 1994 JP
H06-140399 May 1994 JP
H06-16433 Jun 1994 JP
6204231 Jul 1994 JP
H06-319177 Nov 1994 JP
H06-338497 Dec 1994 JP
H07-29836 Jan 1995 JP
H07-297271 Jan 1995 JP
H07-066267 Mar 1995 JP
H07-74162 Mar 1995 JP
H07-109576 Apr 1995 JP
H07-034936 Aug 1995 JP
H07-225214 Aug 1995 JP
7-272694 Oct 1995 JP
H07-283149 Oct 1995 JP
H07-209093 Nov 1995 JP
H08-181135 Jul 1996 JP
H08-335558 Dec 1996 JP
H09-064149 Mar 1997 JP
9-89676 Apr 1997 JP
H09-148322 Jun 1997 JP
H10-41096 Feb 1998 JP
H10-50635 Feb 1998 JP
H10-50800 Feb 1998 JP
H10-064696 Mar 1998 JP
H10-153494 Jun 1998 JP
H10-227703 Aug 1998 JP
H10-0261620 Sep 1998 JP
H11-097163 Apr 1999 JP
H11-118615 Apr 1999 JP
H11-183264 Jul 1999 JP
H11-183265 Jul 1999 JP
H11-195688 Jul 1999 JP
H11-287715 Oct 1999 JP
2000068355 Mar 2000 JP
2000182949 Jun 2000 JP
2000269163 Sep 2000 JP
2001015698 Jan 2001 JP
2001023872 Jan 2001 JP
2001207265 Jul 2001 JP
2001207268 Jul 2001 JP
2001210602 Aug 2001 JP
2001220677 Aug 2001 JP
2001257199 Sep 2001 JP
2001287180 Oct 2001 JP
2002118066 Apr 2002 JP
2002164342 Jun 2002 JP
2002170781 Jun 2002 JP
2002237375 Aug 2002 JP
2003035574 Feb 2003 JP
2003053688 Feb 2003 JP
2003133300 May 2003 JP
2003153706 May 2003 JP
2003303814 Oct 2003 JP
2004014952 Jan 2004 JP
2004023043 Jan 2004 JP
2004088077 Mar 2004 JP
2004091848 Mar 2004 JP
2004113270 Apr 2004 JP
2004128019 Apr 2004 JP
2004134553 Apr 2004 JP
2004163293 Jun 2004 JP
2004244298 Sep 2004 JP
2004244661 Sep 2004 JP
2004294638 Oct 2004 JP
3589954 Nov 2004 JP
2004310019 Nov 2004 JP
2005033221 Feb 2005 JP
2005079254 Mar 2005 JP
2005507030 Mar 2005 JP
2005172489 Jun 2005 JP
3725100 Dec 2005 JP
2006028572 Feb 2006 JP
2006049352 Feb 2006 JP
2006059931 Mar 2006 JP
2006090762 Apr 2006 JP
2006124831 May 2006 JP
2006124832 May 2006 JP
2006153706 Jun 2006 JP
2006186271 Jul 2006 JP
2006188729 Jul 2006 JP
2006278058 Oct 2006 JP
2006319261 Nov 2006 JP
2007027777 Feb 2007 JP
2007287902 Nov 2007 JP
3140111 Mar 2008 JP
2008060304 Mar 2008 JP
2008066159 Mar 2008 JP
2008085129 Apr 2008 JP
2008089320 Apr 2008 JP
2008172083 Jul 2008 JP
2008198629 Aug 2008 JP
2008202107 Sep 2008 JP
2009016815 Jan 2009 JP
2009088421 Apr 2009 JP
2009099938 May 2009 JP
2009194248 Aug 2009 JP
2009239082 Oct 2009 JP
2009251216 Oct 2009 JP
2009252851 Oct 2009 JP
2010067940 Mar 2010 JP
2010097834 Apr 2010 JP
2010205967 Sep 2010 JP
2010251444 Oct 2010 JP
2010255218 Nov 2010 JP
2011049592 Mar 2011 JP
2011162830 Aug 2011 JP
2011181681 Sep 2011 JP
2009010412 Feb 2012 JP
2012146939 Aug 2012 JP
2012164736 Aug 2012 JP
2012195513 Oct 2012 JP
2013026479 Feb 2013 JP
2013196822 Sep 2013 JP
2013235912 Nov 2013 JP
D1422467 Aug 2014 JP
2014222693 Nov 2014 JP
2015021175 Feb 2015 JP
2015115461 Jun 2015 JP
2016098406 May 2016 JP
2010123843 Jun 2016 JP
2016174158 Sep 2016 JP
2017183242 Oct 2017 JP
2017220011 Dec 2017 JP
6519897 May 2019 JP
1998-0026850 Jul 1998 KR
20000000946 Jan 2000 KR
10-0253664 Apr 2000 KR
10-2000-0031098 Jun 2000 KR
10-2000-0045257 Jul 2000 KR
10-0273261 Dec 2000 KR
10-0295043 Apr 2001 KR
10-2002-0027695 Apr 2002 KR
10-2002-0064028 Aug 2002 KR
2002-0086763 Nov 2002 KR
10-0377095 Mar 2003 KR
2003-0092305 Dec 2003 KR
10-2005-0054122 Jun 2005 KR
10-0547248 Jan 2006 KR
10-0593960 Jun 2006 KR
10-2006-0129566 Dec 2006 KR
10-0688484 Feb 2007 KR
20070041701 Apr 2007 KR
10-2007-0079797 Aug 2007 KR
10-2007-0084683 Aug 2007 KR
10-2007-0117817 Dec 2007 KR
2008-0058620 Jun 2008 KR
10-2009-0039936 Apr 2009 KR
10-2009-0055443 Jun 2009 KR
10-2009-0056475 Jun 2009 KR
10-2009-0086790 Aug 2009 KR
10-2009-0105977 Oct 2009 KR
10-0936694 Jan 2010 KR
10-2010-0015073 Feb 2010 KR
10-2010-0020834 Feb 2010 KR
10-2010-0032812 Mar 2010 KR
10-2010-0077442 Jul 2010 KR
10-2010-0079920 Jul 2010 KR
10-2010-0122701 Nov 2010 KR
10-2010-0132779 Dec 2010 KR
10-2011-0058534 Jun 2011 KR
10-1114219 Mar 2012 KR
10-2012-0111060 Oct 2012 KR
10-2013-0007806 Jan 2013 KR
10-2013-0067600 Jun 2013 KR
10-2013-0129149 Nov 2013 KR
10-1347962 Jan 2014 KR
10-1491726 Feb 2015 KR
10-1535573 Jul 2015 KR
101758892 Jul 2017 KR
10-2019-0032077 Mar 2019 KR
8600255 Sep 1987 NL
8701549 Feb 1989 NL
1786406 Jan 1993 RU
494614 Feb 1976 SU
1408319 Jul 1988 SU
538327 Jun 2003 TW
540093 Jul 2003 TW
M292692 Jun 2006 TW
200731357 Aug 2007 TW
200903625 Jan 2009 TW
201213596 Apr 2012 TW
201234453 Aug 2012 TW
201247690 Dec 2012 TW
M446412 Feb 2013 TW
201330086 Jul 2013 TW
D162593 Aug 2014 TW
D164568 Dec 2014 TW
201531587 Aug 2015 TW
M512254 Nov 2015 TW
I514509 Dec 2015 TW
201613231 Apr 2016 TW
M553518 Dec 2017 TW
D196097 Feb 2019 TW
1987001508 Mar 1987 WO
1990004045 Apr 1990 WO
1991004522 Apr 1991 WO
1991006975 May 1991 WO
1992000477 Jan 1992 WO
1994027315 Nov 1994 WO
1996017107 Jun 1996 WO
1997003223 Jan 1997 WO
1998032893 Jul 1998 WO
1999023690 May 1999 WO
DM048579 Jul 1999 WO
2004008491 Jul 2002 WO
2004007800 Jan 2004 WO
2004008827 Jan 2004 WO
2004010467 Jan 2004 WO
2004106584 Dec 2004 WO
2005112082 Nov 2005 WO
2006035281 Apr 2006 WO
2006054854 May 2006 WO
2006056091 Jun 2006 WO
2006078666 Jul 2006 WO
2006080782 Aug 2006 WO
2006097525 Sep 2006 WO
2006101857 Sep 2006 WO
2006114781 Nov 2006 WO
2007024720 Mar 2007 WO
2007027165 Mar 2007 WO
2007076195 Jul 2007 WO
2007088940 Aug 2007 WO
2007117718 Oct 2007 WO
2007131051 Nov 2007 WO
2007140376 Dec 2007 WO
2008045972 Apr 2008 WO
2008091900 Jul 2008 WO
2008121463 Oct 2008 WO
2008147731 Dec 2008 WO
2009028619 Mar 2009 WO
2009029532 Mar 2009 WO
2009039251 Mar 2009 WO
2009099776 Aug 2009 WO
2009154889 Dec 2009 WO
2009154896 Dec 2009 WO
2010039363 Apr 2010 WO
2010077533 Jul 2010 WO
2010100702 Sep 2010 WO
2010110558 Sep 2010 WO
2010118051 Oct 2010 WO
2010129428 Nov 2010 WO
2010129430 Nov 2010 WO
2010129431 Nov 2010 WO
2011019950 Feb 2011 WO
2011149640 Dec 2011 WO
2012056592 May 2012 WO
2012077590 Jun 2012 WO
2013043330 Mar 2013 WO
2013078065 May 2013 WO
2013078066 May 2013 WO
2013177269 Nov 2013 WO
2014107290 Jul 2014 WO
2015026230 Feb 2015 WO
2015107009 Jul 2015 WO
2015112728 Jul 2015 WO
2015127614 Sep 2015 WO
2016019795 Feb 2016 WO
2018109553 Jun 2016 WO
2018109554 Jun 2016 WO
2017108713 Jun 2017 WO
2017108714 Jun 2017 WO
2017125401 Jul 2017 WO
2017212546 Dec 2017 WO
2018003072 Jan 2018 WO
2018008088 Jan 2018 WO
2018013778 Jan 2018 WO
2018020316 Feb 2018 WO
2018020318 Feb 2018 WO
2018020320 Feb 2018 WO
2018020327 Feb 2018 WO
2018105349 Jun 2018 WO
2018109551 Jun 2018 WO
2018109552 Jun 2018 WO
2018178771 Oct 2018 WO
2019030565 Feb 2019 WO
2019103610 May 2019 WO
2019103613 May 2019 WO
2019142055 Jul 2019 WO
2019158960 Aug 2019 WO
2019214578 Nov 2019 WO
2019217749 Nov 2019 WO
2019229537 Dec 2019 WO
2020002995 Jan 2020 WO
2020003000 Jan 2020 WO
2020118100 Jun 2020 WO
2021072042 Apr 2021 WO
Non-Patent Literature Citations (2605)
Entry
CNIPA; Office Action dated Jan. 10, 2013 in Application No. 201080015699.9.
CNIPA; Office Action dated Aug. 1, 2013 in Application No. 201080015699.9.
CNIPA; Office Action dated Jan. 21, 2014 in Application No. 201080015699.9.
CNIPA; Office Action dated Jul. 25, 2014 in Application No. 201080015699.9.
CNIPA; Office Action dated Jan. 12, 2015 in Application No. 201080015699.9.
CNIPA; Notice of Allowance dated May 8, 2015 in Application No. 201080015699.9.
CNIPA; Office Action dated Dec. 10, 2013 in Application No. 201080020267.7.
CNIPA; Notice of Allowance dated Aug. 22, 2014 in Application No. 201080020267.7.
CNIPA; Office Action dated Jan. 21, 2013 in Application No. 201080020268.1.
CNIPA; Office Action dated Sep. 26, 2013 in Application No. 201080020268.1.
CNIPA; Office Action dated Apr. 3, 2014 in Application No. 201080020268.1.
CNIPA; Office Action dated Sep. 23, 2014 in Application No. 201080020268.1.
CNIPA; Office Action dated Apr. 7, 2015 in Application No. 201080020268.1.
CNIPA; Notice of Allowance dated Oct. 16, 2015 in Application No. 201080020268.1.
CNIPA; Office Action dated May 24, 2013 in Application No. 201080036764.6.
CNIPA; Office Action dated Jan. 2, 2014 in Application No. 201080036764.6.
CNIPA; Office Action dated Jul. 1, 2014 in Application No. 201080036764.6.
CNIPA; Notice of Allowance dated Oct. 24, 2014 in Application No. 201080036764.6.
CNIPA; Office Action dated Feb. 8, 2014 in Application No. 201110155056.0.
CNIPA; Office Action dated Sep. 16, 2014 in Application No. 201110155056.0.
CNIPA; Office Action dated Feb. 9, 2015 in Application No. 201110155056.0.
CNIPA; Notice of Allowance dated Aug. 26, 2015 in Application No. 201110155056.0.
CNIPA; Office Action dated Dec. 4, 2015 in Application No. 201210201995.9.
CNIPA; Office Action dated Jul. 14, 2016 in Application No. 201210201995.9.
CNIPA; Office Action dated Jan. 20, 2017 in Application No. 201210201995.9.
CNIPA; Notice of Allowance dated Apr. 13, 2017 in Application No. 201210201995.9.
CNIPA; Office Action dated Dec. 24, 2015 in Application No. 201280057466.4.
CNIPA; Notice of Allowance dated Jun. 16, 2016 in Application No. 201280057466.4.
CNIPA; Office Action dated Dec. 4, 2015 in Application No. 201280057542.1.
CNIPA; Office Action dated May 16, 2016 in Application No. 201280057542.1.
CNIPA; Office Action dated Sep. 9, 2016 in Application No. 201280057542.1.
CNIPA; Notice of Allowance dated Jan. 3, 2017 in Application No. 201280057542.1.
CNIPA; Office Action dated Dec. 5, 2016 in Application No. 201310412808.6.
CNIPA; Notice of Allowance dated Jul. 20, 2017 in Application No. 201310412808.6.
CNIPA; Office Action dated Feb. 5, 2018 in Application No. 201410331047.6.
CNIPA; Office Action dated Dec. 14, 2018 in Application No. 201410331047.6.
CNIPA; Notice of Allowance dated Jun. 14, 2019 in Application No. 201410331047.6.
CNIPA; Office Action dated Oct. 19, 2018 in Application No. 201510765170.3.
CNIPA; Office Action dated Jun. 28, 2019 in Application No. 201510765170.3.
CNIPA; Notice of Allowance dated Sep. 30, 2019 in Application No. 201510765170.3.
CNIPA; Office Action dated Oct. 31, 2018 in Application No. 201510765406.3.
CNIPA; Office Action dated Jun. 28, 2019 in Application No. 201510765406.3.
CNIPA; Notice of Allowance dated Dec. 27, 2019 in Application No. 201510765406.3.
CNIPA; Office Action dated Jan. 21, 2020 in Application No. 201610028064.1.
CNIPA; Notice of Allowance dated May 22, 2020 in Application No. 201610028064.1.
CNIPA; Office Action dated Mar. 26, 2020 in Application No. 201610131743.1.
CNIPA; Office Action dated Oct. 16, 2020 in Application No. 201610131743.1.
CNIPA; Office Action dated Mar. 30, 2021 in Application No. 201610131743.1.
CNIPA; Office Action dated Mar. 14, 2019 in Application No. 201610141027.1.
CNIPA; Notice of Allowance dated Sep. 3, 2019 in Application No. 201610141027.1.
CNIPA; Office Action dated Jul. 23, 2019 in Application No. 201610897958.4.
CNIPA; Office Action dated Feb. 28, 2020 in Application No. 201610897958.4.
CNIPA; Office Action dated Jun. 16, 2020 in Application No. 201610897958.4.
CNIPA; Office Action dated Nov. 4, 2019 in Application No. 201610898822.5.
CNIPA; Office Action dated Jul. 3, 2020 in Application No. 201610898822.5.
CNIPA; Notice of Allowance dated Oct. 9, 2020 in Application No. 201610898822.5.
CNIPA; Office Action dated May 18, 2020 in Application No. 201610982040.X.
CNIPA; Notice of Allowance dated Dec. 21, 2020 in Application No. 201610982040.X.
CNIPA; Office Action dated May 29, 2020 in Application No. 201710131319.1.
CNIPA; Office Action dated Mar. 1, 2021 in Application No. 201710131319.1.
CNIPA; Office Action dated Jun. 1, 2020 in Application No. 201710173292.2.
CNIPA; Notice of Allowance dated Nov. 4, 2020 in Application No. 201710173292.2.
CNIPA; Office Action dated Dec. 20, 2018 in Application No. 201710738549.4.
CNIPA; Office Action dated Nov. 20, 2020 in Application No. 201710762817.6.
CNIPA; Notice of Allowance dated Mar. 30, 2021 in Application No. 201710762817.6.
CNIPA; Office Action dated Jan. 28, 2021 in Application No. 201711057557.9.
CNIPA; Office Action dated Jan. 26, 2021 in Application No. 201711057929.8.
CNIPA; Office Action dated Jun. 20, 2019 in Application No. 201711120632.1.
CNIPA; Office Action dated Apr. 19, 2021 in Application No. 201711328250.8.
CNIPA; Notice of Allowance dated May 25, 2017 in Application No. 201730010308.9.
CNIPA; Office Action dated Aug. 31, 2020 in Application No. 201780044761.9.
CNIPA; Notice of Allowance dated Apr. 7, 2021 in Application No. 201780044761.9.
CNIPA; Office Action dated Jan. 29, 2021 in Application No. 201780076230.8.
CNIPA; Office Action dated Jan. 27, 2021 in Application No. 201780076321.1.
CNIPA; Office Action dated Nov. 11, 2019 in Application No. 201810379112.0.
CNIPA; Office Action dated Apr. 27, 2020 in Application No. 201810379112.0.
CNIPA; Office Action dated Apr. 27, 2020 in Application No. 201810836604.8.
CNIPA; Office Action dated Jul. 30, 2020 in Application No. 201810836604.8.
CNIPA; Office Action dated Apr. 22, 2020 in Application No. 201810994464.7.
CNIPA; Notice of Allowance dated Oct. 24, 2018 in Application No. 201830060972.9.
CNIPA; Notice of Allowance dated Nov. 1, 2018 in Application No. 201830397219.9.
CNIPA; Office Action dated Mar. 24, 2021 in Application No. 201880048547.5.
CNIPA; Office Action dated Dec. 22, 2020 in Application No. 201910378791.4.
CNIPA; Notice of Allowance dated May 14, 2020 in Application No. 201930615780.4.
CNIPA; Notice of Allowance dated Apr. 27, 2020 in Application No. 201930660355.7.
CNIPA; Notice of Allowance dated Dec. 28, 2020 in Application No. 201930704935.1.
CNIPA; Notice of Allowance dated Jun. 2, 2020 in Application No. 202030048819.1.
CNIPA; Notice of Allowance dated May 15, 2020 in Application No. 202030048854.3.
CNIPA; Notice of Allowance dated May 15, 2020 in Application No. 202030053560.X.
CNIPA; Notice of Allowance dated Aug. 7, 2020 in Application No. 202030053609.1.
CNIPA; Notice of Allowance dated May 20, 2020 in Application No. 202030053634.X.
CNIPA; Notice of Allowance dated Dec. 10, 2020 in Application No. 202030352604.9.
CNIPA; Office Action dated Jan. 25, 2021 in Application No. 202030579755.8.
CNIPA; Notice of Allowance dated Apr. 7, 2021 in Application No. 202030579755.8.
EPO; Office Action dated Jul. 29, 2008 in Application No. 00902194.0.
EPO; Supplementary European Search Report and Opinion dated Nov. 9, 2012 in Application No. 08798519.8.
EPO; Office Action dated Jul. 18, 2016 in Application No. 08798519.8.
EPO; Extended European Search Report dated Dec. 9, 2016 in Application No. 09767208.3.
EPO; Office Action dated Aug. 10, 2018 in Application No. 09767208.3.
EPO; Notice of Allowance dated Aug. 1, 2019 in Application No. 09767208.3.
EPO; Office Action dated Jun. 27, 2003 in Application No. 97929595.3.
EPO; Office Action dated Feb. 18, 2004 in Application No. 97929595.3.
EPO; Notice of Allowance dated Apr. 29, 2004 in Application No. 97929595.3.
EPO; Supplementary European Search Report and Opinion dated Jan. 5, 2017 in Application No. 09836647.9.
EPO; Office Action dated Feb. 28, 2018 in Application No. 09836647.9.
EPO; Office Action dated Jan. 11, 2019 in Application No. 09836647.9.
EPO; Notice of Allowance dated Oct. 16, 2019 in Application No. 09836647.9.
EPO; Notice of Allowance dated Jan. 10, 2001 in Application No. 98911263.6.
EPO; Office Action dated Jun. 6, 2001 in Application No. 98911277.6.
EPO; Office Action dated Jun. 27, 2003 in Application No. 98967122.7.
EPO; Notice of Allowance dated Feb. 23, 2004 in Application No. 98967122.7.
EPO; Office Action dated Sep. 22, 2003 in Application No. 99946447.2.
EPO; Office Action dated Nov. 25, 2003 in Application No. 99946447.2.
EPO; Office Action dated Mar. 30, 2004 in Application No. 99946447.2.
EPO; Office Action dated Jul. 19, 2004 in Application No. 99946447.2.
EPO; Notice of Allowance dated Sep. 22, 2004 in Application No. 99946447.2.
EPO; Supplementary European Search Report dated May 27, 2002 in Application No. 99973123.
EPO; Notice of Allowance dated Jun. 11, 2004 in Application No. 99973123.
EPO; Extended European Search Report dated Apr. 28, 2014 in Application No. 11162225.4.
EPO; Notice of Allowance dated Feb. 3, 2015 in Application No. 11162225.4.
EPO; Extended European Search Report dated Nov. 29, 2019 in Application No. 19188826.2.
EPO; Extended European Search Report dated Mar. 3, 2020 in Application No. 19205558.0.
EPO; Extended European Search Report dated Apr. 6, 2021 in Application No. 21150514.4.
IPOS; Notice of Allowance dated Aug. 14, 2017 in Application No. 10201401237.
JPO; Office Action dated Aug. 10, 2009 in Application No. 2003029767.
JPO; Office Action dated Apr. 13, 2010 in Application No. 2003029767.
JPO; Notice of Allowance dated Jun. 24, 2010 in Application No. 2003029767.
JPO; Office Action dated Oct. 30, 2008 in Application No. 2004558313.
JPO; Office Action dated Feb. 19, 2009 in Application No. 2004558313.
JPO; Notice of Allowance dated Jun. 30, 2009 in Application No. 2004558313.
JPO; Office Action dated Mar. 16, 2012 in Application No. 2009-532567.
JPO; Notice of Allowance dated Jul. 23, 2012 in Application No. 2009-532567.
JPO; Notice of Allowance dated Mar. 29, 2013 in Application No. 2010-509478.
JPO; Office Action dated Dec. 20, 2011 in Application No. 2010-522075.
JPO; Office Action dated Apr. 11, 2012 in Application No. 2010-522075.
JPO; Office Action dated May 15, 2013 in Application No. 2010058415.
JPO; Office Action dated Oct. 30, 2013 in Application No. 2010058415.
JPO; Office Action dated Aug. 7, 2014 in Application No. 2010058415.
JPO; Notice of Allowance dated Dec. 18, 2014 in Application No. 2010058415.
JPO; Office Action dated Aug. 22, 2013 in Application No. 2010-153754.
JPO; Office Action dated Oct. 30, 2013 in Application No. 2010-193285.
JPO; Office Action dated Aug. 26, 2015 in Application No. 2011-284831.
JPO; Notice of Allowance dated Mar. 3, 2016 in Application No. 2011-284831.
JPO; Office Action dated May 31, 2012 in Application No. 2011-514650.
JPO; Office Action dated Sep. 11, 2012 in Application No. 2011-514650.
JPO; Notice of Allowance dated Dec. 10, 2012 in Application No. 2011-514650.
JPO; Office Action dated Dec. 10, 2014 in Application No. 2011090067.
JPO; Notice of Allowance dated Apr. 28, 2015 in Application No. 2011090067.
JPO; Office Action dated Jul. 14, 2016 in Application No. 2012-153698.
JPO; Notice of Allowance dated Oct. 21, 2016 in Application No. 2012-153698.
JPO; Office Action dated Dec. 20, 2013 in Application No. 2012-504786.
JPO; Office Action dated Jan. 25, 2014 in Application No. 2012-504786.
JPO; Office Action dated Dec. 1, 2014 in Application No. 2012-504786.
JPO; Notice of Allowance dated Jun. 12, 2015 in Application No. 2012504786.
JPO; Office Action dated Mar. 11, 2013 in Application No. 2012-509857.
JPO; Notice of Allowance dated Jun. 29, 2013 in Application No. 2012-509857.
JPO; Office Action dated May 19, 2017 in Application No. 2013-160173.
JPO; Notice of Allowance dated Aug. 23, 2017 in Application No. 2013-160173.
JPO; Office Action dated Aug. 14, 2017 in Application No. 2013-178344.
JPO; Office Action dated Jan. 23, 2018 in Application No. 2013-178344.
JPO; Notice of Allowance dated Jul. 24, 2018 in Application No. 2013-178344.
JPO; Office Action dated Apr. 3, 2018 in Application No. 2014-120675.
JPO; Notice of Allowance dated Jun. 6, 2018 in Application No. 2014-120675.
JPO; Office Action dated Mar. 28, 2018 in Application No. 2014-188835.
JPO; Notice of Allowance dated Jun. 6, 2018 in Application No. 2014-188835.
JPO; Office Action dated Apr. 12, 2018 in Application No. 2014-205548.
JPO; Notice of Allowance dated Dec. 19, 2019 in Application No. 2014205548.
JPO; Notice of Allowance dated May 14, 2018 in Application No. 2014-216540.
JPO; Office Action dated Jul. 20, 2018 in Application No. 2015-034774.
JPO; Office Action dated Jun. 27, 2019 in Application No. 2015034774.
JPO; Notice of Allowance dated Nov. 20, 2019 in Application No. 2015034774.
JPO; Office Action dated Jan. 30, 2019 in Application No. 2015052198.
JPO; Notice of Allowance dated Apr. 5, 2019 in Application No. 2015052198.
JPO; Office Action dated Aug. 29, 2019 in Application No. 2016001928.
JPO; Notice of Allowance dated Dec. 17, 2019 in Application No. 2016001928.
JPO; Office Action dated Jun. 1, 2020 in Application No. 2016-206625.
JPO; Notice of Allowance dated Nov. 27, 2020 in Application No. 2016-206625.
JPO; Office Action dated Aug. 28, 2020 in Application No. 2017-139817.
JPO; Office Action dated Jan. 26, 2021 in Application No. 2017-139817.
JPO; Notice of Allowance dated Apr. 6, 2021 in Application No. 2017-139817.
JPO; Office Action dated Mar. 31, 2021 in Application No. 2018-024655.
JPO; Notice of Allowance dated Jul. 1, 2020 in Application No. 2019-025168.
JPO; Office Action dated Aug. 24, 2020 in Application No. 2019-119908.
JPO; Notice of Allowance dated Mar. 17, 2021 in Application No. 2020-010953.
JPO; Office Action dated Jun. 29, 2020 in Application No. 2020-502653.
JPO; Notice of Allowance dated Nov. 26, 2020 in Application No. 2020-502653.
KIPO; Office Action dated Dec. 10, 2015 in Application No. 10-2010-0028336.
KIPO; Office Action dated Jun. 29, 2016 in Application No. 10-2010-0028336.
KIPO; Notice of Allowance dated Sep. 29, 2016 in Application No. 10-2010-0028336.
KIPO; Office Action dated Mar. 3, 2016 in Application No. 10-2010-0067768.
KIPO; Office Action dated Aug. 1, 2016 in Application No. 10-2010-0067768.
KIPO; Notice of Allowance dated Dec. 1, 2016 in Application No. 10-2010-0067768.
KIPO; Office Action dated May 2, 2016 in Application No. 10-2010-0082446.
KIPO; Office Action dated Sep. 19, 2016 in Application No. 10-2010-0082446.
KIPO; Notice of Allowance dated Mar. 7, 2017 in Application No. 10-2010-0082446.
KIPO; Office Action dated Mar. 13, 2017 in Application No. 20110034612.
KIPO; Office Action dated Jul. 20, 2017 in Application No. 20110034612.
KIPO; Notice of Allowance dated Sep. 1, 2017 in Application No. 20110034612.
KIPO; Office Action dated Nov. 24, 2017 in Application No. 10-2011-0036449.
KIPO; Office Action dated May 23, 2017 in Application No. 10-2011-0036449.
KIPO; Office Action dated Apr. 2, 2018 in Application No. 10-2011-0036449.
KIPO; Notice of Allowance dated Oct. 24, 2018 in Application No. 10-2011-0036449.
KIPO; Office Action dated Sep. 4, 2017 in Application No. 10-2011-0087600.
KIPO; Notice of Allowance dated Jan. 11, 2018 in Application No. 10-2011-0087600.
KIPO; Office Action dated Oct. 23, 2017 in Application No. 10-2011-0142924.
KIPO; Notice of Allowance dated Mar. 14, 2018 in Application No. 10-2011-0142924.
KIPO; Office Action dated Dec. 11, 2015 in Application No. 10-2011-7023416.
KIPO; Office Action dated Mar. 13, 2016 in Application No. 10-2011-7023416.
KIPO; Notice of Allowance dated Jun. 2, 0216 in Application No. 10-2011-7023416.
KIPO; Office Action dated Oct. 30, 2017 in Application No. 10-2012-0041878.
KIPO; Notice of Allowance dated Feb. 28, 2018 in Application No. 10-2012-0041878.
KIPO; Office Action dated Mar. 21, 2018 in Application No. 10-2012-0042518.
KIPO; Notice of Allowance dated May 30, 2018 in Application No. 10-2012-0042518.
KIPO; Office Action dated Mar. 21, 2018 in Application No. 10-2012-0064526.
KIPO; Office Action dated Sep. 18, 2018 in Application No. 10-2012-0064526.
KIPO; Office Action dated Dec. 13, 2018 in Application No. 10-2012-0064526.
KIPO; Office Action dated Jan. 12, 2019 in Application No. 10-2012-0064526.
KIPO; Notice of Allowance dated Jul. 5, 2019 in Application No. 10-2012-0064526.
KIPO; Office Action dated Mar. 30, 2018 in Application No. 10-2012-0076564.
KIPO; Office Action dated Sep. 27, 2018 in Application No. 10-2012-0076564.
KIPO; Office Action dated Mar. 27, 2019 in Application No. 10-2012-0076564.
KIPO; Decision of Intellectual Property Trial and Appeal Board dated Jun. 23, 2020 in Application No. 10- 2012-0076564.
KIPO; Office Action dated Apr. 30, 2018 in Application No. 10-2012-0103114.
KIPO; Notice of Allowance dated Nov. 22, 2018 in Application No. 10-2012-0103114.
KIPO; Office Action dated Oct. 24, 2016 in Application No. 10-2012-7004062.
KIPO; Office Action dated Jul. 24, 2017 in Application No. 10-2012-7004062.
KIPO; Decision of Intellectual Property Trial and Appeal Board dated May 13, 2019 in Application No. 10-2012-7004062.
KIPO; Office Action dated May 30, 2019 in Application No. 10-2012-7004062.
KIPO; Notice of Allowance dated Sep. 27, 2019 in Application No. 10-2012-7004062.
KIPO; Office Action dated Apr. 24, 2019 in Application No. 10-2013-0036823.
KIPO; Notice of Allowance dated Aug. 29, 2019 in Application No. 10-2013-0036823.
KIPO; Office Action dated Aug. 27, 2019 in Application No. 10-2013-0049598.
KIPO; Office Action dated Feb. 28, 2020 in Application No. 10-2013-0049598.
KIPO; Notice of Allowance dated Sep. 25, 2020 in Application No. 10-2013-0049598.
KIPO; Office Action dated May 31, 2019 in Application No. 10-2013-0050740.
KIPO; Office Action dated Nov. 27, 2019 in Application No. 10-2013-0050740.
KIPO; Notice of Allowance dated Apr. 23, 2020 in Application No. 10-2013-0050740.
KIPO; Office Action dated Mar. 27, 2019 in Application No. 10-2013-0084459.
KIPO; Notice of Allowance dated Oct. 30, 2019 in Application No. 10-2013-0084459.
KIPO; Office Action dated Apr. 30, 2019 in Application No. 10-2013-0088450.
KIPO; Office Action dated Nov. 29, 2019 in Application No. 10-2013-0088450.
KIPO; Notice of Allowance dated Jan. 13, 2020 in Application No. 10-2013-0088450.
KIPO; Office Action dated Aug. 27, 2019 in Application No. 10-2013-0089998.
KIPO; Notice of Allowance dated Feb. 27, 2020 in Application No. 10-2013-0089998.
KIPO; Office Action dated Dec. 4, 2019 in Application No. 10-2013-0098575.
KIPO; Office Action dated Jun. 19, 2020 in Application No. 10-2013-0098575.
KIPO; Notice of Allowance dated Aug. 13, 2020 in Application No. 10-2013-0098575.
KIPO; Office Action dated Apr. 19, 2019 in Application No. 10-2013-0101944.
KIPO; Notice of Allowance dated Oct. 8, 2019 in Application No. 10-2013-0101944.
KIPO; Office Action dated Nov. 12, 2019 in Application No. 10-2013-0102026.
KIPO; Notice of Allowance dated May 14, 2020 in Application No. 10-2013-0102026.
KIPO; Office Action dated Aug. 15, 2019 in Application No. 10-2013-0109390.
KIPO; Notice of Allowance dated Oct. 21, 2019 in Application No. 10-2013-0109390.
KIPO; Office Action dated Oct. 7, 2019 in Application No. 10-2013-0114079.
KIPO; Notice of Allowance dated Mar. 4, 2020 in Application No. 10-2013-0114079.
KIPO; Office Action dated May 21, 2019 in Application No. 10-2013-0121554.
KIPO; Notice of Allowance dated Oct. 28, 2019 in Application No. 10-2013-0121554.
KIPO; Office Action dated Mar. 30, 2020 in Application No. 10-2014-0011764.
KIPO; Notice of Allowance dated Oct. 27, 2020 in Application No. 10-2014-0011764.
KIPO; Office Action dated Jul. 21, 2020 in Application No. 10-2014-0011765.
KIPO; Office Action dated Jan. 25, 2021 in Application No. 10-2014-0011765.
KIPO; Office Action dated Mar. 23, 2021 in Application No. 10-2014-0011765.
KIPO; Office Action dated Feb. 3, 2020 in Application No. 10-2014-0021615.
KIPO; Notice of Allowance dated Sep. 8, 2020 in Application No. 10-2014-0021615.
KIPO; Office Action dated Oct. 26, 2020 in Application No. 10-2014-0027217.
KIPO; Office Action dated Apr. 27, 2021 in Application No. 10-2014-0027217.
KIPO; Office Action dated Feb. 15, 2020 in Application No. 10-2014-0027305.
KIPO; Notice of Allowance dated Oct. 12, 2020 in Application No. 10-2014-0027305.
KIPO; Office Action dated Jul. 9, 2020 in Application No. 10-2014-0060120.
KIPO; Notice of Allowance dated Jan. 4, 2021 in Application No. 10-2014-0060120.
KIPO; Office Action dated Aug. 18, 2020 in Application No. 10-2014-0071653.
KIPO; Notice of Allowance dated Jan. 4, 2021 in Application No. 10-2014-0071653.
KIPO; Office Action dated May 21, 2020 in Application No. 10-2014-0086902.
KIPO; Notice of Allowance dated Oct. 19, 2020 in Application No. 10-2014-0086902.
KIPO; Office Action dated May 21, 2020 in Application No. 10-2014-0091092.
KIPO; Notice of Allowance dated Sep. 27, 2020 in Application No. 10-2014-0091092.
KIPO; Office Action dated Mar. 11, 2020 in Application No. 10-2014-0103853.
KIPO; Office Action dated Oct. 5, 2020 in Application No. 10-2014-0103853.
KIPO; Notice of Allowance dated Apr. 15, 2021 in Application No. 10-2014-0103853.
KIPO; Office Action dated Nov. 29, 2020 in Application No. 10-2014-0105478.
KIPO; Office Action dated Feb. 17, 2021 in Application No. 10-2014-0122903.
KIPO; Office Action dated Oct. 7, 2020 in Application No. 10-2014-0128626.
KIPO; Notice of Allowance dated Mar. 25, 2021 in Application No. 10-2014-0128626.
KIPO; Office Action dated Apr. 20, 2021 in Application No. 10-2014-0136089.
KIPO; Office Action dated Jan. 25, 2021 in Application No. 10-2014-0145220.
KIPO; Office Action dated Mar. 19, 2021 in Application No. 10-2014-0156196.
KIPO; Office Action dated Mar. 29, 2021 in Application No. 10-2014-0165685.
KIPO; Office Action dated Jan. 22, 2019 in Application No. 10-2014-7017110.
KIPO; Notice of Allowance dated Aug. 26, 2019 in Application No. 10-2014-7017110.
KIPO; Office Action dated Sep. 28, 2017 in Application No. 10-2014-7017112.
KIPO; Notice of Allowance dated Feb. 23, 2018 in Application No. 10-2014-7017112.
KIPO; Office Action dated Jan. 6, 2021 in Application No. 10-2015-0025314.
KIPO; Office Action dated Apr. 5, 2021 in Application No. 10-2015-0031720.
KIPO; Office Action dated Apr. 19, 2021 in Application No. 10-2015-0035094.
KIPO; Office Action dated Nov. 9, 2016 in Application No. 10-2016-7023913.
KIPO; Notice of Allowance dated May 30, 2017 in Application No. 10-2016-7023913.
KIPO; Office Action dated Mar. 8, 2021 in Application No. 10-2017-0054647.
KIPO; Office Action dated Mar. 10, 2021 in Application No. 10-2017-0055703.
KIPO; Office Action dated Jul. 21, 2020 in Application No. 10-2017-0087308.
KIPO; Notice of Allowance dated Oct. 26, 2020 in Application No. 10-2017-0087308.
KIPO; Notice of Allowance dated Feb. 27, 2018 in Application No. 10-2017-0175442.
KIPO; Office Action dated Sep. 28, 2017 in Application No. 10-2017-7023740.
KIPO; Final Office Action dated Jun. 17, 2019 in Application No. 10-2017-7023740.
KIPO; Notice of Allowance dated Jul. 19, 2018 in Application No. 20187013945.
KIPO; Office Action dated Jun. 30, 2020 in Application No. 10-2019-0044213.
KIPO; Office Action dated Dec. 23, 2020 in Application No. 10-2019-0044213.
KIPO; Notice of Allowance dated Nov. 29, 2019 in Application No. 10-2019-0127773.
KIPO; Offie Action dated Apr. 22, 2020 in Application No. 10-2020-0009462.
KIPO; Notice of Allowance dated Oct. 28, 2020 in Application No. 10-2020-0009462.
KIPO; Office Action dated Nov. 14, 2020 in Application No. 10-2020-0101096.
KIPO; Notice of Allowance dated May 24, 2021 in Application No. 10-2020-0101096.
KIPO; Office Action dated Feb. 12, 2020 in Application No. 10-2020-7000992.
KIPO; Notice of Allowance dated Jul. 20, 2020 in Application No. 10-2020-7000992.
KIPO; Office Action dated Sep. 15, 2017 in Application No. 30-2017-0001320.
KIPO; Notice of Allowance dated Jan. 19, 2018 in Application No. 30-2017-0001320.
KIPO; Notice of Allowance dated Jul. 10, 2018 in Application No. 30-2017-0052872.
KIPO; Office Action dated Jul. 11, 2018 in Application No. 30-2018-0006016.
KIPO; Notice of Allowance dated Oct. 16, 2018 in Application No. 30-2018-0006016.
KIPO; Office Action dated Jan. 30, 2019 in Application No. 30-2018-0033442.
KIPO; Notice of Allowance dated Apr. 1, 2019 in Application No. 30-2018-0033442.
KIPO; Notice of Allowance dated Oct. 20, 2020 in Application No. 30-2019-0054013 M001.
KIPO; Notice of Allowance dated Oct. 20, 2020 in Application No. 30-2019-0054013 M002.
KIPO; Notice of Allowance dated Oct. 20, 2020 in Application No. 30-2019-0054642.
KIPO; Notice of Allowance dated Oct. 22, 2020 in Application No. 30-2019-0058076.
KIPO; Notice of Allowance dated Oct. 22, 2020 in Application No. 30-2019-0058566 (M001).
KIPO; Notice of Allowance dated Oct. 22, 2020 in Application No. 30-2019-0058566 (M002).
KIPO; Notice of Allowance dated Dec. 1, 2020 in Application No. 30-2019-0061607.
KIPO; Notice of Allowance dated Apr. 1, 2021 in Application No. 30-2020-0030139 (M001).
KIPO; Notice of Allowance dated Apr. 1, 2021 in Application No. 30-2020-0030139 (M002).
KIPO; Notice of Allowance dated Jan. 26, 2021 in Application No. 30-2020-0005953 (M001).
KIPO; Notice of Allowance dated Jan. 26, 2021 in Application No. 30-2020-0005953 (M002).
KIPO; Notice of Allowance dated Dec. 23, 2020 in Application No. 30-2020-0006059.
KIPO; Notice of Allowance dated Dec. 25, 2020 in Application No. 30-2020-0006223.
KIPO; Notice of Allowance dated Feb. 1, 2021 in Application No. 30-2020-0006385.
KIPO; Notice of Allowance dated Feb. 1, 2021 in Application No. 30-2020-0006391.
TIPO; Office Action dated Aug. 30, 2013 in Application No. 97132391.
TIPO; Office Action dated Dec. 20, 2013 in Application No. 98117513.
TIPO; Notice of Allowance dated Jun. 12, 2014 in Application No. 98117513.
TIPO; Office Action dated Jul. 4, 2014 in Application No. 99110511.
TIPO; Notice of Allowance dated Feb. 24, 2016 in Application No. 99110511.
TIPO; Office Action dated Aug. 27, 2014 in Application No. 99114329.
TIPO; Notice of Allowance dated Jan. 28, 2015 in Application No. 99114329.
TIPO; Office Action dated Dec. 26, 2014 in Application No. 99114330.
TIPO; Notice of Allowance dated Apr. 28, 2015 in Application No. 99114330.
TIPO; Office Action dated Aug. 14, 2014 in Application No. 99114331.
TIPO; Notice of Allowance dated Oct. 16, 2015 in Application No. 99114331.
TIPO; Office Action dated Dec. 19, 2014 in Application No. 99127063.
TIPO; Notice of Allowance dated Mar. 14, 2016 in Application No. 99127063.
TIPO; Notice of Allowance dated Oct. 2, 2015 in Application No. 100130472.
TIPO; Office Action dated Feb. 19, 2016 in Application No. 100113130.
TIPO; Notice of Allowance dated Jun. 29, 2016 in Applicaton No. 100113130.
TIPO; Notice of Allowance dated Nov. 2, 2016 in Application No. 101142581.
TIPO; Office Action dated Apr. 28, 2016 in Application No. 101142582.
TIPO; Notice of Allowance dated Aug. 19, 2016 in Application No. 101142582.
TIPO; Office Action dated Aug. 1, 2016 in Application No. 101124745.
TIPO; Notice of Allowance dated Oct. 19, 2016 in Application No. 101124745.
TIPO; Office Action dated Sep. 19, 2016 in Application No. 102113028.
TIPO; Notice of Allowance dated Feb. 13, 2017 in Application No. 102113028.
TIPO; Office Action dated Aug. 2016 in Application No. 102115605.
TIPO; Office Action dated Feb. 24, 2017 in Application No. 102115605.
TIPO; Notice of Allowance dated Dec. 26, 2017 in Application No. 102115605.
TIPO; Office Action dated Nov. 15, 2016 in Application No. 102125191.
TIPO; Office Action dated Jun. 20, 2017 in Application No. 102125191.
TIPO; Notice of Allowance dated Feb. 20, 2020 in Application No. 102125191.
TIPO; Office Action dated Dec. 6, 2016 in Application No. 102126071.
TIPO; Office Action dated May 17, 2018 in Application No. 102126071.
TIPO; Notice of Allowance dated Aug. 24, 2018 in Application No. 102126071.
TIPO; Office Action dated Feb. 10, 2017 in Application No. 102127065.
TIPO; Notice of Allowance dated Jul. 18, 2017 in Application No. 102127065.
TIPO; Office Action dated Nov. 3, 2016 in Application No. 102129262.
TIPO; Notice of Allowance dated Mar. 3, 2017 in Application No. 102129262.
TIPO; Office Action dated Dec. 29, 2016 in Application No. 102129397.
TIPO; Notice of Allowance dated Aug. 29, 2017 in Application No. 102129397.
TIPO; Office Action dated Nov. 3, 2016 in Application No. 102131839.
TIPO; Notice of Allowance dated Jan. 26, 2017 in Application No. 102131839.
TIPO; Office Action dated Dec. 2, 2016 in Application No. 102136496.
TIPO; Office Action dated Jan. 10, 2018 in Application No. 102136496.
TIPO; Office Action dated Aug. 16, 2019 in Application No. 102136496.
TIPO; Notice of Allowance dated Jan. 7, 2020 in Application No. 102136496.
TIPO; Office Action dated Nov. 11, 2016 in Application No. 102132952.
TIPO; Notice of Allowance dated Apr. 19, 2017 in Application No. 102132952.
TIPO; Office Action dated Jul. 17, 2017 in Application No. 103101400.
TIPO; Notice of Allowance dated Jan. 24, 2018 in Application No. 103101400.
TIPO; Office Action dated Feb. 23, 2017 in Application No. 103102563.
TIPO; Notice of Allowance dated Nov. 30, 2017 in Application No. 103102563.
TIPO; Office Action dated Mar. 3, 2017 in Application No. 103105251.
TIPO; Notice of Allowance dated Oct. 20, 2017 in Application No. 103105251.
TIPO; Office Action dated Nov. 1, 2017 in Application No. 103106021.
TIPO; Notice of Allowance dated Apr. 10, 2018 in Application No. 103106021.
TIPO; Office Action dated Oct. 31, 2017 in Application No. 103106022.
TIPO; Notice of Allowance dated Apr. 10, 2018 in Application No. 103106022.
TIPO; Office Action dated Jul. 5, 2017 in Application No. 103117477.
TIPO; Notice of Allowance dated Jan. 22, 2018 in Application No. 103117477.
TIPO; Office Action dated Nov. 22, 2017 in Application No. 103117478.
TIPO; Notice of Allowance dated Mar. 13, 2018 in Application No. 103117478.
TIPO; Office Action dated May 19, 2017 in Application No. 103120478.
TIPO; Notice of Allowance dated Sep. 25, 2017 in Application No. 103120478.
TIPO; Office Action dated Sep. 20, 2018 in Application No. 103123439.
TIPO; Notice of Allowance dated Jul. 10, 2019 in Application No. 103123439.
TIPO; Office Action dated Nov. 8, 2017 in Application No. 103124509.
TIPO; Notice of Allowance dated Apr. 25, 2018 in Application No. 103124509.
TIPO; Office Action dated Nov. 20, 2017 in Application No. 103127588.
TIPO; Notice of Allowance dated Jun. 19, 2018 in Application No. 103127588.
TIPO; Office Action dated Sep. 19, 2017 in Application No. 103127734.
TIPO; Notice of Allowance dated Dec. 11, 2017 in Application No. 103127734.
TIPO; Office Action dated Sep. 26, 2018 in Application No. 103132230.
TIPO; Notice of Allowance dated Jan. 29, 2019 in Application No. 103132230.
TIPO; Office Action dated Nov. 22, 2017 in Application No. 103134537.
TIPO; Notice of Allowance dated Apr. 19, 2018 in Application No. 103134537.
TIPO; Office Action dated Aug. 24, 2017 in Application No. 103136251.
TIPO; Notice of Allowance dated Oct. 17, 2017 in Application No. 103136251.
TIPO; Office Action dated Feb. 26, 2018 in Application No. 103138510.
TIPO; Notice of Allowance dated Jun. 13, 2018 in Application No. 103138510.
TIPO; Office Action dated May 21, 2018 in Application No. 103139014.
TIPO; Notice of Allowance dated Sep. 11, 2018 in Application No. 103139014.
TIPO; Office Action dated Jun. 22, 2018 in Application No. 104105533.
TIPO; Office Action dated Feb. 22, 2019 in Application No. 104105533.
TIPO; Notice of Allowance dated Sep. 27, 2019 in Application No. 104105533.
TIPO; Office Action dated Nov. 19, 2018 in Application No. 104105965.
TIPO; Notice of Allowance dated Sep. 23, 2019 in Application No. 104105965.
TIPO; Office Action dated Jul. 9, 2018 in Application No. 104107876.
TIPO; Notice of Allowance dated May 9, 2019 in Application No. 104107876.
TIPO; Office Action dated Aug. 7, 2018 Application No. 104107888.
TIPO; Notice of Allowance dated Apr. 26, 2019 in Application No. 104107888.
TIPO; Office Action dated May 6, 2019 in Application No. 104108277.
TIPO; Office Action dated Jan. 17, 2020 in Application No. 104108277.
TIPO; Office Action dated Jul. 9, 2018 in Application No. 104110326.
TIPO; Notice of Allowance dated May 8, 2019 in Application No. 104110326.
TIPO; Office Action dated Jun. 13, 2018 in Application No. 104111910.
TIPO; Notice of Allowance dated Sep. 18, 2018 in Application No. 104111910.
TIPO; Office Action dated Apr. 29, 2019 in Application No. 104122889.
TIPO; Notice of Allowance dated Oct. 4, 2019 in Application No. 104122889.
TIPO; Office Action dated Jan. 30, 2019 in Application No. 104122890.
TIPO; Notice of Allowance dated Jul. 19, 2019 in Application No. 104122890.
TIPO; Office Action dated Jul. 11, 2018 in Application No. 104124377.
TIPO; Notice of Allowance dated Jun. 19, 2019 in Application No. 104124377.
TIPO; Office Action dated Jan. 7, 2019 in Application No. 104132991.
TIPO; Notice of Allowance dated Apr. 12, 2019 in Application No. 104132991.
TIPO; Office Action dated Apr. 25, 2019 in Application No. 104141679.
TIPO; Notice of Allowance dated Nov. 27, 2019 in Application No. 104141679.
TIPO; Office Action dated Apr. 25, 2019 in Application No. 105101536.
TIPO; Office Action dated Dec. 13, 2019 in Application No. 105101536.
TIPO; Notice of Allowance dated May 4, 2020 in Application No. 105101536.
TIPO; Office Action dated Nov. 6, 2019 in Application No. 105101537.
TIPO; Notice of Allowance dated Jul. 1, 2020 in Application No. 105101537.
TIPO; Notice of Allowance dated May 7, 2019 in Application No. 105104453.
TIPO; Office Action dated Dec. 13, 2019 in Application No. 105111990.
TIPO; Notice of Allowance dated Sep. 4, 2020 in Application No. 105111990.
TIPO; Office Action dated Jul. 5, 2019 in Application No. 105112363.
TIPO; Notice of Allowance dated Dec. 10, 2019 in Application No. 105112363.
TIPO; Office Action dated Oct. 1, 2019 in Application No. 105114105.
TIPO; Notice of Allowance dated Jan. 15, 2020 in Application No. 105114105.
TIPO; Office Action dated Sep. 9, 2019 in Application No. 105115513.
TIPO; Notice of Allowance dated Feb. 10, 2020 in Application No. 105115513.
TIPO; Office Action dated Oct. 3, 2019 in Application No. 105119533.
TIPO; Notice of Allowance dated Mar. 13, 2020 in Application No. 105119533.
TIPO; Office Action dated Jan. 20, 2020 in Application No. 105122394.
TIPO; Office Action dated Sep. 7, 2020 in Application No. 105122394.
TIPO; Notice of Allowance dated May 13, 2021 in Application No. 105122394.
TIPO; Office Action dated Jan. 17, 2020 in Application No. 105122586.
TIPO; Notice of Allowance dated Aug. 31, 2020 in Application No. 105122586.
TIPO; Office Action dated Oct. 28, 2019 in Application No. 105122715.
TIPO; Notice of Allowance dated Feb. 13, 2020 in Application No. 105122715.
TIPO; Office Action dated Apr. 14, 2020 in Application No. 105129977.
TIPO; Office Action dated Sep. 18, 2020 in Application No. 105129977.
TIPO; Office Action dated Mar. 17, 2020 in Application No. 105130130.
TIPO; Notice of Allowance dated Sep. 25, 2020 in Application No. 105130130.
TIPO; Office Action Received Aug. 25, 2020 in Application No. 105131284.
TIPO; Notice of Allowance dated Mar. 5, 2021 in Application No. 105131284.
TIPO; Office Action dated Aug. 25, 2020 in Application No. 105131896.
TIPO; Office Action dated Dec. 2, 2020 in Application No. 105131896.
TIPO; Office Action dated Feb. 25, 2021 in Application No. 105134275.
TIPO; Office Action dated Sep. 30, 2020 in Application No. 105142668.
TIPO; Notice of Allowance dated Jan. 29, 2021 in Application No. 105142668.
TIPO; Notice of Allowance dated Dec. 5, 2017 in Application No. 105308015.
TIPO; Notice of Allowance dated Apr. 11, 2018 in Application No. 105308015D01.
TIPO; Office Action dated Aug. 11, 2020 in Application No. 106100823.
TIPO; Notice of Allowance dated Mar. 4, 2021 in Application No. 106100823.
TIPO; Office Action dated Jun. 17, 2020 in Application No. 106108152.
TIPO; Notice of Allowance dated Dec. 10, 2020 in Application No. 106108152.
TIPO; Office Action dated Oct. 7, 2020 in Application No. 106108522.
TIPO; Notice of Allowance dated May 6, 2021 in Application No. 106108522.
TIPO; Office Action dated Aug. 21, 2020 in Application No. 106111548.
TIPO; Notice of Allowance dated Jan. 25, 2021 in Application No. 106111548.
TIPO; Office Action dated Oct. 8, 2020 in Application No. 106111693.
TIPO; Notice of Allowance dated Mar. 4, 2021 in Application No. 106111693.
TIPO; Office Action dated Sep. 30, 2020 in Application No. 106113604.
TIPO; Office Action dated Jan. 6, 2021 in Application No. 106115126.
TIPO; Office Action dated Nov. 6, 2017 in Application No. 106117181.
TIPO; Notice of Allowance dated Jun. 5, 2018 in Application No. 106117181.
TIPO; Office Action dated Sep. 28, 2018 in Application No. 106119537.
TIPO; Office Action dated Jul. 15, 2020 in Application No. 106120365.
TIPO; Office Action dated Nov. 12, 2020 in Application No. 106120365.
TIPO; Office Action dated Nov. 18, 2020 in Application No. 106120902.
TIPO; Office Action dated Feb. 25, 2021 in Application No. 106121797.
TIPO; Office Action dated Dec. 21, 2020 in Application No. 106122231.
TIPO; Office Action dated Apr. 26, 2021 in Application No. 106122231.
TIPO; Office Action dated Oct. 8, 2020 in Application No. 106123203.
TIPO; Office Action dated Dec. 29, 2020 in Application No. 106124126.
TIPO; Office Action dated Apr. 22, 2021 in Application No. 106124126.
TIPO; Office Action dated Dec. 9, 2020 in Application No. 106124128.
TIPO; Office Action dated Apr. 22, 2021 in Application No. 106124128.
TIPO; Office Action dated Jan. 25, 2021 in Application No. 106124129.
TIPO; Office Action dated Dec. 9, 2020 in Application No. 106124130.
TIPO; Notice of Allowance dated Mar. 25, 2021 in Application No. 106124130.
TIPO; Office Action dated Dec. 26, 2018 in Application No. 106127690.
TIPO; Office Action dated Mar. 4, 2021 in Application No. 106127948.
TIPO; Office Action dated Jan. 20, 2021 in Application No. 106129491.
TIPO; Office Action dated Mar. 15, 2021 in Application No. 106129971.
TIPO; Office Action dated Feb. 8, 2021 in Application No. 106133152.
TIPO; Office Action dated Apr. 7, 2021 in Application No. 106135925.
TIPO; Office Action dated Apr. 7, 2021 in Application No. 106136905.
TIPO; Office Action dated Aug. 31, 2018 in Application No. 106138119.
TIPO; Office Action dated Jun. 25, 2018 in Application No. 106138800.
TIPO; Office Action dated Jan. 7, 2019 in Application No. 106138800.
TIPO; Notice of Allowance dated Sep. 20, 2019 in Application No. 106138800.
TIPO; Office Action dated Oct. 3, 2018 in Application No. 106142731.
TIPO; Office Action dated Mar. 29, 2021 in Application No. 106143559.
TIPO; Office Action dated Mar. 31, 2021 in Application No. 106143570.
TIPO; Office Action dated Sep. 28, 2018 in Application No. 107112951.
TIPO; Office Action dated Aug. 27, 2019 in Application No. 107116804.
TIPO; Notice of Allowance dated Jan. 16, 2020 in Application No. 107116804.
TIPO; Office Action dated Nov. 20, 2018 in Application No. 107118271.
TIPO; Office Action dated Jun. 4, 2019 in Application No. 107123992.
TIPO; Office Action dated May 28, 2019 in Application No. 107125586.
TIPO; Office Action dated Jan. 17, 2020 in Application No. 107127688.
TIPO; Notice of Allowance dated Aug. 29, 2018 in Application No. 107300633.
TIPO; Notice of Allowance dated Feb. 21, 2019 in Application No. 107303723.
TIPO; Office Action dated Jun. 28, 2019 in Application No. 108102948.
TIPO; Office Action dated Dec. 24, 2019 in Application No. 108105002.
TIPO; Office Action dated Feb. 3, 2020 in Application No. 108114221.
TIPO; Office Action dated Nov. 13, 2019 in Application No. 108115406.
TIPO; Office Action dated Feb. 21, 2020 in Application No. 108120947.
TIPO; Notice of Allowance dated Mar. 12, 2020 in Application No. 108129100.
TIPO; Office Action dated Jun. 24, 2020 in Application No. 108135004.
TIPO; Office Action dated Jun. 29, 2020 in Application No. 108137226.
TIPO; Office Action dated Jul. 9, 2020 in Application No. 108137227.
TIPO; Office Action dated Aug. 17, 2020 in Application No. 108139018.
TIPO; Office Action dated Jan. 15, 2021 in Application No. 108142842.
TIPO; Office Action dated Jan. 25, 2021 in Application No. 108143562.
TIPO; Notice of Allowance dated Oct. 19, 2020 in Application No. 108306935.
TIPO; Notice of Allowance dated Oct. 19, 2020 in Application No. 108306935D01.
TIPO; Notice of Allowance dated Jul. 15, 2020 in Application No. 108307027.
TIPO; Notice of Allowance dated Oct. 19, 2020 in Application No. 108307027D01.
TIPO; Notice of Allowance dated Sep. 15, 2020 in Application No. 108307301.
TIPO; Notice of Allowance dated Nov. 24, 2020 in Application No. 108307599.
TIPO; Notice of Allowance dated Jan. 11, 2021 in Application No. 108307599D01.
TIPO; Notice of Allowance dated Sep. 25, 2020 in Application No. 108307759.
TIPO; Notice of Allowance dated Jan. 11, 2021 in Application No. 109300591.
TIPO; Notice of Allowance dated Jan. 11, 2021 in Application No. 109300593.
TIPO; Office Action dated Jan. 11, 2021 in Application No. 109300594.
TIPO; Notice of Allowance dated May 18, 2021 in Application No. 109300595.
TIPO; Notice of Allowance dated Mar. 30, 2021 in Application No. 109305460.
USPTO; Non-Final Office Action dated Mar. 28, 2001 in U.S. Appl. No. 09/452,844.
USPTO; Final Office Action dated Sep. 11, 2001 in U.S. Appl. No. 09/452,844.
USPTO; Advisory Action dated Jan. 24, 2002 in U.S. Appl. No. 09/452,844.
USPTO; Non-Final Office Action dated Apr. 9, 2002 in U.S. Appl. No. 09/452,844.
USPTO; Final Office Action dated Sep. 27, 2002 in U.S. Appl. No. 09/452,844.
USPTO; Notice of Allowance dated Jun. 17, 2003 in U.S. Appl. No. 09/452,844.
USPTO; Non-Final Office Action dated Oct. 31, 2003 in U.S. Appl. No. 09/452,844.
USPTO; Notice of Allowance dated Mar. 26, 2004 in U.S. Appl. No. 09/452,844.
USPTO; Non-Final Office Action dated Dec. 10, 2002 in U.S. Appl. No. 09/771,673.
USPTO; Final Office Action dated May 29, 2003 in U.S. Appl. No. 09/771,673.
USPTO; Final Office Action dated Feb. 6, 2004 in U.S. Appl. No. 09/771,673.
USPTO; Advisory Action dated Apr. 20, 2004 in U.S. Appl. No. 09/771,673.
USPTO; Notice of Allowance dated Jul. 29, 2004 in U.S. Appl. No. 09/771,673.
USPTO; Non-Final Office Action dated Jan. 3, 2002 in U.S. Appl. No. 09/807,580.
USPTO; Final Office Action dated Jun. 21, 2002 in U.S. Appl. No. 09/807,580.
USPTO; Advisory Action dated Aug. 27, 2002 in U.S. Appl. No. 09/807,580.
USPTO; Non-Final Action dated Nov. 27, 2002 in U.S. Appl. No. 09/807,580.
USPTO; Final Office Action dated May 27, 2003 in U.S. Appl. No. 09/807,580.
USPTO; Advisory Action dated Oct. 22, 2003 in U.S. Appl. No. 09/807,580.
USPTO; Examiner's Answer to Appeal Brief dated May 6, 2004 in U.S. Appl. No. 09/807,580.
USPTO; Decision on Appeal dated Feb. 16, 2005 in U.S. Appl. No. 09/807,580.
USPTO; Non-Final Action dated May 19, 2005 in U.S. Appl. No. 09/807,580.
USPTO; Final Action dated Nov. 21, 2005 in U.S. Appl. No. 09/807,580.
USPTO; Notice of Allowance dated Feb. 23, 2006 in U.S. Appl. No. 09/807,580.
USPTO; Notice of Allowance dated Jul. 26, 2005 in U.S. Appl. No. 10/033,058.
USPTO; Non-Final Office Action dated Aug. 25, 2005 in U.S. Appl. No. 10/191,635.
USPTO; Final Office Action dated Apr. 25, 2006 in U.S. Appl. No. 10/191,635.
USPTO; Non-Final Office Action dated Nov. 20, 2006 in U.S. Appl. No. 10/191,635.
USPTO; Notice of Allowance dated May 21, 2007 in U.S. Appl. No. 10/191,635.
USPTO; Notice of Allowance dated Feb. 20, 2008 in U.S. Appl. No. 10/191,635.
USPTO; Non-Final Office Action dated May 13, 2003 in U.S. Appl. No. 10/222,229.
USPTO; Non-Final Office Action dated Oct. 22, 2003 in U.S. Appl. No. 10/222,229.
USPTO; Final Office Action dated Mar. 22, 2004 in U.S. Appl. No. 10/222,229.
USPTO; Advisory Action dated Oct. 7, 2004 in U.S. Appl. No. 10/222,229.
USPTO; Non-Final Office Action dated Dec. 22, 2004 in U.S. Appl. No. 10/222,229.
USPTO; Final Office Action dated Jun. 20, 2005 in U.S. Appl. No. 10/222,229.
USPTO; Advisory Action dated Nov. 16, 2005 in U.S. Appl. No. 10/222,229.
USPTO; Notice of Allowance dated Mar. 8, 2006 in U.S. Appl. No. 10/222,229.
USPTO; Non-Final Office Action dated Feb. 9, 2004 in U.S. Appl. No. 10/603,515.
USPTO; Notice of Allowance dated Jun. 18, 2004 in U.S. Appl. No. 10/603,515.
USPTO; Non-Final Office Action dated Jan. 26, 2005 in U.S. Appl. No. 10/838,510.
USPTO; Notice of Allowance dated Jul. 12, 2005 in U.S. Appl. No. 10/838,510.
USPTO; Non-Final Office Action dated Oct. 17, 2006 in U.S. Appl. No. 10/932,633.
USPTO; Non-Final Office Action dated Apr. 19, 2007 in U.S. Appl. No. 10/932,633.
USPTO; Notice of Allowance dated Sep. 10, 2007 in U.S. Appl. No. 10/932,633.
USPTO; Office Action dated Feb. 15, 2011 in U.S. Appl. No. 12/118,596.
USPTO; Notice of Allowance dated Aug. 4, 2011 in U.S. Appl. No. 12/118,596.
USPTO; Non-Final Office Action dated Apr. 28, 2010 in U.S. Appl. No. 12/121,085.
USPTO; Notice of Allowance dated Jul. 26, 2010 in U.S. Appl. No. 12/121,085.
USPTO; Notice of Allowance dated Oct. 4, 2010 in U.S. Appl. No. 12/121,085.
USPTO; Non-Final Office Action dated Sep. 13, 2010 in U.S. Appl. No. 12/140,809.
USPTO; Final Office Action dated Dec. 28, 2010 in U.S. Appl. No. 12/140,809.
USPTO; Notice of Allowance dated Mar. 17, 2011 in U.S. Appl. No. 12/140,809.
USPTO; Non-Final Office Action dated Mar. 15, 2011 in U.S. Appl. No. 12/193,924.
USPTO; Foma; Office Action dated Sep. 30, 2011 in U.S. Appl. No. 12/193,924.
USPTO; Non-Final Office Action dated Oct. 24, 2012 in U.S. Appl. No. 12/193,924.
USPTO; Final Office Action dated Apr. 17, 2013 in U.S. Appl. No. 12/193,924.
USPTO; Advisory Action dated Jul. 9, 2013 in U.S. Appl. No. 12/193,924.
USPTO; Non-Final Office Action dated Jul. 28, 2011 in U.S. Appl. No. 12/330,096.
USPTO; Final Office Action dated Jan. 13, 2012 in U.S. Appl. No. 12/330,096.
USPTO; Notice of Allowance dated Mar. 6, 2012 in U.S. Appl. No. 12/330,096.
USPTO; Non-Final Office Action dated Mar. 20, 2012 in U.S. Appl. No. 12/330,096.
USPTO; Notice of Allowance dated Jun. 7, 2012 in U.S. Appl. No. 12/330,096.
USPTO; Non-Final Office Action dated Apr. 1, 2010 in U.S. Appl. No. 12/357,174.
USPTO; Final Office Action dated Sep. 1, 2010 in U.S. Appl. No. 12/357,174.
USPTO; Notice of Allowance dated Dec. 13, 2010 in U.S. Appl. No. 12/357,174.
USPTO; Non-Final Office Action dated Dec. 29, 2010 in U.S. Appl. No. 12/362,023.
USPTO; Non-Final Office Action dated Jul. 26, 2011 in U.S. Appl. No. 12/416,809.
USPTO; Final Office Action dated Dec. 6, 2011 in U.S. Appl. No. 12/416,809.
USPTO; Notice of Allowance dated Apr. 2, 2012 in U.S. Appl. No. 12/416,809.
USPTO; Advisory Action dated Feb. 3, 2012 in U.S. Appl. No. 12/416,809.
USPTO; Notice of Allowance dated Jun. 16, 2011 in U.S. Appl. No. 12/430,751.
USPTO; Notice of Allowance dated Jul. 27, 2011 in U.S. Appl. No. 12/430,751.
USPTO; Non-Final Office Action dated Aug. 3, 2011 in U.S. Appl. No. 12/436,300.
USPTO; Final Office Action dated Jan. 23, 2012 in U.S. Appl. No. 12/436,300.
USPTO; Advisory Action dated Mar. 6, 2012 in U.S. Appl. No. 12/436,300.
USPTO; Non-Final Office Action dated May 22, 2012 in U.S. Appl. No. 12/436,300.
USPTO; Notice of Allowance dated Nov. 28, 2012 in U.S. Appl. No. 12/436,300.
USPTO; Non-Final Office Action dated Apr. 11, 2012 in U.S. Appl. No. 12/436,306.
USPTO; Final Office Action dated Sep. 26, 2012 in U.S. Appl. No. 12/436,306.
USPTO; Non-Final Office Action dated May 31, 2013 in U.S. Appl. No. 12/436,306.
USPTO; Final Office Action dated Oct. 17, 2013 in U.S. Appl. No. 12/436,306.
USPTO; Non-Final Office Action dated Feb. 4, 2014 in U.S. Appl. No. 12/436,306.
USPTO; Final Office Action dated Jun. 23, 2014 in U.S. Appl. No. 12/436,306.
USPTO; Advisory Action dated Oct. 1, 2014 in U.S. Appl. No. 12/436,306.
USPTO; Non-Final Office Action dated Feb. 3, 2015 in U.S. Appl. No. 12/436,306.
USPTO; Final Office Action dated May 13, 2015 in U.S. Appl. No. 12/436,306.
USPTO; Non-Final Office Action dated Oct. 14, 2015 in U.S. Appl. No. 12/436,306.
USPTO; Final Office Action dated Dec. 31, 2015 in U.S. Appl. No. 12/436,306.
USPTO; Notice of Allowance dated Feb. 3, 2016 in U.S. Appl. No. 12/436,306.
USPTO; Non-Final Office Action dated Aug. 3, 2011 in U.S. Appl. No. 12/436,315.
USPTO; Notice of Allowance dated Nov. 17, 2011 in U.S. Appl. No. 12/436,315.
USPTO; Notice of Allowance dated Oct. 1, 2010 in U.S. Appl. No. 12/467,017.
USPTO; Non-Final Office Action dated Mar. 18, 2010 in U.S. Appl. No. 12/489,252.
USPTO; Notice of Allowance dated Sep. 2, 2010 in U.S. Appl. No. 12/489,252.
USPTO; Non-Final Office Action dated Dec. 15, 2010 in U.S. Appl. No. 12/553,759.
USPTO; Final Office Action dated May 4, 2011 in U.S. Appl. No. 12/553,759.
USPTO; Advisory Action dated Jul. 13, 2011 in U.S. Appl. No. 12/553,759.
USPTO; Non-Final Office Action dated Sep. 6, 2011 in U.S. Appl. No. 12/553,759.
USPTO; Notice of Allowance dated Jan. 27, 2012 in U.S. Appl. No. 12/553,759.
USPTO; Non-Final Office Action dated Oct. 19, 2012 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated May 8, 2013 in U.S. Appl. No. 12/618,355.
USPTO; Advisory Action dated Jul. 23, 2013 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Apr. 8, 2015 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated Oct. 22, 2015 in U.S. Appl. No. 12/618,355.
USPTO; Advisory Action dated Mar. 4, 2016 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Jun. 30, 2016 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated Feb. 10, 2017 in U.S. Appl. No. 12/618,355.
USPTO; Advisory Action dated May 16, 2017 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 12/618,355.
USPTO; Final Office Action dated Aug. 10, 2018 in U.S. Appl. No. 12/618,355.
USPTO; Notice of Allowance dated Apr. 4, 2019 in U.S. Appl. No. 12/618,355.
USPTO; Non-Final Office Action dated Feb. 16, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Final Office Action dated Jun. 22, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Non-Final Office Action dated Nov. 27, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Advisory Action dated Aug. 9, 2012 in U.S. Appl. No. 12/618,419.
USPTO; Notice of Allowance dated Apr. 12, 2013 in U.S. Appl. No. 12/618,419.
USPTO; Non-Final Office Action dated Jun. 12, 2013 in U.S. Appl. No. 12/618,419.
USPTO; Notice of Allowance dated Oct. 9, 2013 in U.S. Appl. No. 12/618,419.
USPTO; Non-Final Office Action dated Dec. 6, 2011 in U.S. Appl. No. 12/718,731.
USPTO; Notice of Allowance dated Mar. 16, 2012 in U.S. Appl. No. 12/718,731.
USPTO; Office Action dated Feb. 26, 2013 in U.S. Appl. No. 12/754,223.
USPTO; Final Office Action dated Jun. 28, 2013 in U.S. Appl. No. 12/754,223.
USPTO; Office Action dated Feb. 25, 2014 in U.S. Appl. No. 12/754,223.
USPTO; Final Office Action dated Jul. 14, 2014 in U.S. Appl. No. 12/754,223.
USPTO; Non-Final Office Action dated Mar. 25, 2015 in U.S. Appl. No. 12/754,223.
USPTO; Final Office Action dated Aug. 12, 2015 in U.S. Appl. No. 12/754,223.
USPTO; Notice of Allowance dated May 23, 2016 in U.S. Appl. No. 12/754,223.
USPTO; Office Action dated Apr. 23, 2013 in U.S. Appl. No. 12/763,037.
USPTO; Final Office Action dated Oct. 21, 2013 in U.S. Appl. No. 12/763,037.
USPTO; Office Action dated Oct. 8, 2014 in U.S. Appl. No. 12/763,037.
USPTO; Notice of Allowance dated Jan. 27, 2015 in U.S. Appl. No. 12/763,037.
USPTO; Non-Final Office Action dated Jan. 24, 2011 in U.S. Appl. No. 12/778,808.
USPTO; Notice of Allowance dated May 9, 2011 in U.S. Appl. No. 12/778,808.
USPTO; Notice of Allowance dated Oct. 12, 2012 in U.S. Appl. No. 12/832,739.
USPTO; Non-Final Office Action dated Oct. 16, 2012 in U.S. Appl. No. 12/847,848.
USPTO; Final Office Action dated Apr. 22, 2013 in U.S. Appl. No. 12/847,848.
USPTO; Advisory Action dated Jul. 1, 2013 in U.S. Appl. No. 12/847,848.
USPTO; Notice of Allowance dated Jan. 16, 2014 in U.S. Appl. No. 12/847,848.
USPTO; Office Action dated Dec. 6, 2012 in U.S. Appl. No. 12/854,818.
USPTO; Final Office Action dated Mar. 13, 2013 in U.S. Appl. No. 12/854,818.
USPTO; Office Action dated Aug. 30, 2013 in U.S. Appl. No. 12/854,818.
USPTO; Final Office Action dated Mar. 26, 2014 in U.S. Appl. No. 12/854,818.
USPTO; Office Action dated Jun. 3, 2014 in U.S. Appl. No. 12/854,818.
USPTO; Non-Final Office Action dated Jul. 11, 2012 in U.S. Appl. No. 12/875,889.
USPTO; Notice of Allowance dated Jan. 4, 2013 in U.S. Appl. No. 12/875,889.
USPTO; Notice of Allowance dated Jan. 9, 2012 in U.S. Appl. No. 12/901,323.
USPTO; Non-Final Office Action dated Nov. 20, 2013 in U.S. Appl. No. 12/910,607.
USPTO; Final Office Action dated Apr. 28, 2014 in U.S. Appl. No. 12/910,607.
USPTO; Advisory Action dated Jul. 9, 2014 in U.S. Appl. No. 12/910,607.
USPTO; Notice of Allowance dated Aug. 15, 2014 in U.S. Appl. No. 12/910,607.
USPTO; Non-Final Office Action dated Oct. 24, 2012 in U.S. Appl. No. 12/940,906.
USPTO; Final Office Action dated Feb. 13, 2013 in U.S. Appl. No. 12/940,906.
USPTO; Notice of Allowance dated Apr. 23, 2013 in U.S. Appl. No. 12/940,906.
USPTO; Non-Final Office Action dated Dec. 7, 2012 in U.S. Appl. No. 12/953,870.
USPTO; Final Office Action dated Apr. 22, 2013 in U.S. Appl. No. 12/953,870.
USPTO; Advisory Action dated Jul. 8, 2013 in U.S. Appl. No. 12/953,870.
USPTO; Non-Final Office Action dated Aug. 28, 2013 in U.S. Appl. No. 12/953,870.
USPTO; Final Office Action dated Apr. 17, 2014 in U.S. Appl. No. 12/953,870.
USPTO; Non-Final Office Action dated Sep. 19, 2012 in U.S. Appl. No. 13/016,735.
USPTO; Final Office Action dated Feb. 11, 2013 in U.S. Appl. No. 13/016,735.
USPTO; Notice of Allowance dated Apr. 24, 2013 in U.S. Appl. No. 13/016,735.
USPTO; Non-Final Office Action dated Apr. 4, 2012 in U.S. Appl. No. 13/030,438.
USPTO; Final Office Action dated Aug. 22, 2012 in U.S. Appl. No. 13/030,438.
USPTO; Notice of Allowance dated Oct. 24, 2012 in U.S. Appl. No. 13/030,438.
USPTO; Non-Final Office Action dated Dec. 3, 2012 in U.S. Appl. No. 13/040,013.
USPTO; Notice of Allowance dated May 3, 2013 in U.S. Appl. No. 13/040,013.
USPTO; Non-Final Office Action dated Feb. 15, 2012 in U.S. Appl. No. 13/085,531.
USPTO; Notice of Allowance dated Jul. 12, 2012 in U.S. Appl. No. 13/085,531.
USPTO; Notice of Allowance dated Sep. 13, 2012 in U.S. Appl. No. 13/085,698.
USPTO; Non-Final Office Action dated Mar. 29, 2013 in U.S. Appl. No. 13/094,402.
USPTO; Final Office Action dated Jul. 17, 2013 in U.S. Appl. No. 13/094,402.
USPTO; Notice of Allowance dated Sep. 30, 2013 in U.S. Appl. No. 13/094,402.
USPTO; Office Action dated Oct. 7, 2013 in U.S. Appl. No. 13/102,980.
USPTO; Final Office Action dated Mar. 25, 2014 in U.S. Appl. No. 13/102,980.
USPTO; Advisory Action dated Jun. 12, 2014 in U.S. Appl. No. 13/102,980.
USPTO; Notice of Allowance dated Jul. 3, 2014 in U.S. Appl. No. 13/102,980.
USPTO; Notice of Allowance dated Sep. 17, 2014 in U.S. Appl. No. 13/102,980.
USPTO; Non-Final Office Action dated Jul. 17, 2014 in U.S. Appl. No. 13/154,271.
USPTO; Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 13/154,271.
USPTO; Non-Final Office Action dated May 27, 2015 in U.S. Appl. No. 13/154,271.
USPTO; Final Office Action dated Nov. 23, 2015 in U.S. Appl. No. 13/154,271.
USPTO; Notice of Allowance dated Feb. 10, 2016 in U.S. Appl. No. 13/154,271.
USPTO; Non-Final Office Action dated Jun. 27, 2016 in U.S. Appl. No. 13/166,367.
USPTO; Final Office Action dated Dec. 30, 2016 in U.S. Appl. No. 13/166,367.
USPTO; Advisory Action dated Apr. 21, 2017 in U.S. Appl. No. 13/166,367.
USPTO; Notice of Allowance dated Jun. 28, 2017 in U.S. Appl. No. 13/166,367.
USPTO; Non-Final Office Action dated Oct. 27, 2014 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated May 26, 2015 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Sep. 1, 2015 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated Mar. 3, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Jun. 9, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated Dec. 9, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Advisory Action dated May 13, 2016 in U.S. Appl. No. 13/169,951.
USPTO; Advisory Action dated Feb. 15, 2017 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Apr. 26, 2017 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated Nov. 2, 2017 in U.S. Appl. No. 13/169,951.
USPTO; Advisory Action dated Feb. 8, 2018 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Apr. 6, 2018 in U.S. Appl. No. 13/169,951.
USPTO; Final Office Action dated Nov. 2, 2018 in U.S. Appl. No. 13/169,951.
USPTO; Advisory Action dated Feb. 4, 2019 in U.S. Appl. No. 13/169,951.
USPTO; Notice of Allowance dated Apr. 4, 2019 in U.S. Appl. No. 13/169,951.
USPTO; Non-Final Office Action dated Jun. 24, 2014 in U.S. Appl. No. 13/181,407.
USPTO; Final Office Action dated Sep. 24, 2014 in U.S. Appl. No. 13/181,407.
USPTO; Advisory Action dated Dec. 17, 2014 in U.S. Appl. No. 13/181,407.
USPTO; Non-Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 13/181,407.
USPTO; Final Office Action dated Apr. 8, 2015 in U.S. Appl. No. 13/181,407.
USPTO; Non-Final Office Action dated Jan. 23, 2013 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Jul. 29, 2013 in U.S. Appl. No. 13/184,351.
USPTO; Advisory Action dated Nov. 7, 2013 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Jul. 16, 2014 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Feb. 17, 2015 in U.S. Appl. No. 13/184,351.
USPTO; Advisory Action dated May 18, 2015 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Aug. 10, 2015 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Feb. 12, 2016 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Dec. 15, 2016 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Jun. 15, 2017 in U.S. Appl. No. 13/184,351.
USPTO; Advisory Action dated Oct. 4, 2017 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Jul. 26, 2018 in U.S. Appl. No. 13/184,351.
USPTO; Final Office Action dated Dec. 28, 2018 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Aug. 19, 2019 in U.S. Appl. No. 13/184,351.
USPTO; Notice of Allowance dated Jul. 6, 2020 in U.S. Appl. No. 13/184,351.
USPTO; Non-Final Office Action dated Sep. 17, 2014 in U.S. Appl. No. 13/187,300.
USPTO; Final Office Action dated Apr. 15, 2015 in U.S. Appl. No. 13/187,300.
USPTO; Non-Final Office Action dated Apr. 7, 2016 in U.S. Appl. No. 13/187,300.
USPTO; Final Office Acton dated Sep. 23, 2016 in U.S. Appl. No. 13/187,300.
USPTO; Non-Final Office Action dated Jan. 30, 2017 in U.S. Appl. No. 13/187,300.
USPTO; Final Office Action dated Aug. 9, 2017 in U.S. Appl. No. 13/187,300.
USPTO; Examiner's Answer to Appeal Brief dated Apr. 20, 2018 in U.S. Appl. No. 13/187,300.
USPTO; Patent Board Decision dated Sep. 23, 2019 in U.S. Appl. No. 13/187,300.
USPTO; Non-Final Office Action dated Oct. 1, 2012 in U.S. Appl. No. 13/191,762.
USPTO; Final Office Action dated Apr. 10, 2013 in U.S. Appl. No. 13/191,762.
USPTO; Notice of Allowance dated Aug. 15, 2013 in U.S. Appl. No. 13/191,762.
USPTO; Non-Final Office Action dated Oct. 22, 2012 in U.S. Appl. No. 13/238,960.
USPTO; Final Office Action dated May 3, 2013 in U.S. Appl. No. 13/238,960.
USPTO; Non-Final Office Action dated Apr. 26, 2013 in U.S. Appl. No. 13/250,721.
USPTO; Notice of Allowance dated Sep. 11, 2013 in U.S. Appl. No. 13/250,721.
USPTO; Non-Final Office Action dated Jul. 2, 2014 in U.S. Appl. No. 13/283,408.
USPTO; Final Office Action dated Jan. 29, 2015 in U.S. Appl. No. 13/283,408.
USPTO; Non-Final Office Action dated Jun. 17, 2015 in U.S. Appl. No. 13/283,408.
USPTO; Final Office Action dated Dec. 18, 2015 in U.S. Appl. No. 13/283,408.
USPTO; Advisory Action dated Mar. 28, 2016 in U.S. Appl. No. 13/283,408.
USPTO; Notice of Allowance dated Mar. 28, 2016 in U.S. Appl. No. 13/283,408.
USPTO; Non-Final Office Action dated Jul. 30, 2014 in U.S. Appl. No. 13/284,642.
USPTO; Notice of Allowance dated Feb. 11, 2015 in U.S. Appl. No. 13/284,642.
USPTO; Non-Final Office Action dated Jan. 28, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Final Office Action dated May 14, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Advisory Action dated Aug. 26, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Non-Final Office Action dated Nov. 26, 2014 in U.S. Appl. No. 13/312,591.
USPTO; Final Office Action dated Mar. 20, 2015 in U.S. Appl. No. 13/312,591.
USPTO; Notice of Allowance dated May 14, 2015 in U.S. Appl. No. 13/312,591.
USPTO; Notice of Allowance dated Jun. 11, 2015 in U.S. Appl. No. 13/312,591.
USPTO; Non-Final Office Action dated Apr. 9, 2014 in U.S. Appl. No. 13/333,420.
USPTO; Notice of Allowance dated Sep. 15, 2014 in U.S. Appl. No. 13/333,420.
USPTO; Office Action dated Feb. 11, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Final Office Action dated May 17, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Office Action dated Aug. 29, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Final Office Action dated Dec. 18, 2013 in U.S. Appl. No. 13/339,609.
USPTO; Notice of Allowance dated Apr. 7, 2014 in U.S. Appl. No. 13/339,609.
USPTO; Non-Final Office Action dated Oct. 10, 2012 in U.S. Appl. No. 13/406,791.
USPTO; Final Office Action dated Jan. 31, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Advisory Action dated Mar. 27, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Non-Final Office Action dated Apr. 25, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Final Office Action dated Aug. 23, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Advisory Action dated Oct. 29, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Non-Final Office Action dated Dec. 4, 2013 in U.S. Appl. No. 13/406,791.
USPTO; Final Office Action dated Apr. 21, 2014 in U.S. Appl. No. 13/406,791.
USPTO; Non-Final Office Action dated Jan. 14, 2013 in U.S. Appl. No. 13/410,970.
USPTO; Notice of Allowance dated Feb. 14, 2013 in U.S. Appl. No. 13/410,970.
USPTO; Non-Final Office Action dated Feb. 13, 2014 in U.S. Appl. No. 13/411,271.
USPTO; Non-Final Office Action dated Jul. 31, 2014 in U.S. Appl. No. 13/411,271.
USPTO; Advisory Action dated Apr. 22, 2015 in U.S. Appl. No. 13/411,271.
USPTO; Final Office Action dated Jan. 16, 2015 in U.S. Appl. No. 13/411,271.
USPTO; Notice of Allowance dated Oct. 6, 2015 in U.S. Appl. No. 13/411,271.
USPTO; Office Action dated Feb. 4, 2014 in U.S. Appl. No. 13/439,528.
USPTO; Final Office Action dated Jul. 8, 2014 in U.S. Appl. No. 13/439,528.
UPPTO; Notice of Allowance dated Oct. 21, 2014 in U.S. Appl. No. 13/439,528.
USPTO; Non-Final Office Action dated Apr. 11, 2013 in U.S. Appl. No. 13/450,368.
USPTO; Notice of Allowance dated Jul. 17, 2013 in U.S. Appl. No. 13/450,368.
USPTO; Non-Final Office Action dated May 23, 2013 in U.S. Appl. No. 13/465,340.
USPTO; Final Office Action dated Oct. 30, 2013 in U.S. Appl. No. 13/465,340.
USPTO; Notice of Allowance dated Feb. 12, 2014 in U.S. Appl. No. 13/465,340.
USPTO; Non-Final Office Action dated Oct. 17, 2013 in U.S. Appl. No. 13/493,897.
USPTO; Notice of Allowance dated Mar. 20, 2014 in U.S. Appl. No. 13/493,897.
USPTO; Non-Final Office Action dated Dec. 20, 2013 in U.S. Appl. No. 13/535,214.
USPTO; Final Office Action dated Jun. 18, 2014 in U.S. Appl. No. 13/535,214.
USPTO; Notice of Allowance dated Oct. 23, 2014 in U.S. Appl. No. 13/535,214.
USPTO; Non-Final Office Action dated Sep. 11, 2013 in U.S. Appl. No. 13/550,419.
USPTO; Final Office Action dated Jan. 27, 2014 in U.S. Appl. No. 13/550,419.
USPTO; Advisory Action dated Mar. 31, 2014 in U.S. Appl. No. 13/550,419.
USPTO; Notice of Allowance dated May 29, 2014 in U.S. Appl. No. 13/550,419.
USPTO; Non-Final Office Action dated Aug. 8, 2014 in U.S. Appl. No. 13/563,066.
USPTO; Final Office Action dated Feb. 12, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Advisory Action dated Apr. 16, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Notice of Allowance dated Jun. 12, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Notice of Allowance dated Jul. 16, 2015 in U.S. Appl. No. 13/563,066.
USPTO; Non-Final Office Action dated May 28, 2013 in U.S. Appl. No. 13/563,274.
USPTO; Notice of Allowance dated Sep. 27, 2013 in U.S. Appl. No. 13/563,274.
USPTO; Non-Final Office Action dated Nov. 7, 2013 in U.S. Appl. No. 13/565,564.
USPTO; Final Office Action dated Feb. 28, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Advisory Action dated May 5, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Non-Final Office Action dated Jul. 2, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Notice of Allowance dated Nov. 3, 2014 in U.S. Appl. No. 13/565,564.
USPTO; Notice of Allowance dated Sep. 13, 2013 in U.S. Appl. No. 13/566,069.
USPTO; Non-Final Office Action dated Aug. 30, 2013 in U.S. Appl. No. 13/570,067.
USPTO; Notice of Allowance dated Jan. 6, 2014 in U.S. Appl. No. 13/570,067.
USPTO; Non-Final Office Action dated Oct. 15, 2014 in U.S. Appl. No. 13/597,043.
USPTO; Final Office Action dated Mar. 13, 2015 in U.S. Appl. No. 13/597,043.
USPTO; Notice of Allowance dated Aug. 28, 2015 in U.S. Appl. No. 13/597,043.
USPTO; Non-Final Office Action dated Feb. 12, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Final Office Action dated Jun. 1, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Advisory Action dated Sep. 2, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Non-Final Office Action dated Dec. 8, 2015 in U.S. Appl. No. 13/597,108.
USPTO; Final Office Action dated Jun. 2, 2016 in U.S. Appl. No. 13/597,108.
USPTO; Non-Final Office Action dated Sep. 15, 2016 in U.S. Appl. No. 13/597,108.
USPTO; Notice of Allowance dated Mar. 7, 2017 in U.S. Appl. No. 13/597,108.
USPTO; Notice of Allowance dated Mar. 27, 2014 in U.S. Appl. No. 13/604,498.
USPTO; Non-Final Office Action dated Nov. 15, 2013 in U.S. Appl. No. 13/612,538.
USPTO; Non-Final Office Action dated Jul. 10, 2014 in U.S. Appl. No. 13/612,538.
USPTO; Notice of Allowance dated Feb. 25, 2015 in U.S. Appl. No. 13/612,538.
USPTO; Non-Final Office Action dated Apr. 15, 2015 in U.S. Appl. No. 13/646,403.
USPTO; Final Office Action dated Oct. 15, 2015 in U.S. Appl. No. 13/646,403.
USPTO; Notice of Allowance dated Feb. 2, 2016 in U.S. Appl. No. 13/646,403.
USPTO; Non-Final Office Action dated May 15, 2014 in U.S. Appl. No. 13/646,471.
USPTO; Final Office Action dated Aug. 18, 2014 in U.S. Appl. No. 13/646,471.
USPTO; Advisory Action dated Nov. 14, 2014 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated Dec. 16, 2014 in U.S. Appl. No. 13/646,471.
USPTO; Final Office Action dated Apr. 21, 2015 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated Aug. 19, 2015 in U.S. Appl. No. 13/646,471.
USPTO; Final Office Action dated Jan. 22, 2016 in U.S. Appl. No. 13/646,471.
USPTO; Advisory Action dated Apr. 15, 2016 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated Jun. 2, 2016 in U.S. Appl. No. 13/646,471.
USPTO; Final Office Action dated Oct. 20, 2016 in U.S. Appl. No. 13/646,471.
USPTO; Non-Final Office Action dated May 28, 2015 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Action dated Dec. 14, 2017 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Action dated Nov. 19, 2015 in U.S. Appl. No. 13/651,144.
USPTO; Advisory Action dated Feb. 12, 2016 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated May 10, 2016 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Action dated Sep. 20, 2016 in U.S. Appl. No. 13/651,144.
USPTO; Advisory Action dated Dec. 29, 2016 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated May 17, 2017 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated Dec. 14, 2017 in U.S. Appl. No. 13/651,144.
USPTO; Advisory Action dated Apr. 19, 2018 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated Sep. 20, 2018 in U.S. Appl. No. 13/651,144.
USPTO; Final Office Action dated Mar. 15, 2019 in U.S. Appl. No. 13/651,144.
USPTO; Non-Final Office Action dated Sep. 18, 2019 in U.S. Appl. No. 13/651,144.
USPTO; Notice of Allowance dated Feb. 10, 2020 in U.S. Appl. No. 13/651,144.
USPTO; Advisory Action dated Jun. 26, 2015 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Jun. 18, 2015 in U.S. Appl. No. 13/665,366.
USPTO; Final Office Action dated Mar. 1, 2016 in U.S. Appl. No. 13/665,366.
USPTO; Advisory Action dated May 13, 2016 in U.S. Appl. No. 13/665,366.
USPTO; Non-Final Office Action dated Jun. 17, 2016 in U.S. Appl. No. 13/665,366.
USPTO; Final Office Action dated May 3, 2017 in U.S. Appl. No. 13/665,366.
USPTO; Non-Final Office Action dated Apr. 3, 2015 in U.S. Appl. No. 13/677,133.
USPTO; Notice of Allowance dated Aug. 4, 2015 in U.S. Appl. No. 13/677,133.
USPTO; Notice of Allowance dated Aug. 24, 2015 in U.S. Appl. No. 13/677,133.
USPTO; Office Action dated Jun. 2, 2014 in U.S. Appl. No. 13/677,151.
USPTO; Final Office Action dated Nov. 14, 2014 in U.S. Appl. No. 13/677,151.
USPTO; Notice of Allowance dated Feb. 26, 2015 in U.S. Appl. No. 13/677,151.
USPTO; Notice of Allowance dated Mar. 17, 2015 in U.S. Appl. No. 13/677,151.
USPTO; Non-Final Office Action dated Aug. 20, 2013 in U.S. Appl. No. 13/679,502.
USPTO; Final Office Action dated Feb. 25, 2014 in U.S. Appl. No. 13/679,502.
USPTO; Notice of Allowance dated May 2, 2014 in U.S. Appl. No. 13/679,502.
USPTO; Non-Final Office Action dated Jul. 21, 2015 in U.S. Appl. No. 13/727,324.
USPTO; Final Office Action dated Jan. 22, 2016 in U.S. Appl. No. 13/727,324.
USPTO; Advisory Action dated Apr. 6, 2016 in U.S. Appl. No. 13/727,324.
USPTO; Non-Final Office Action dated May 25, 2016 in U.S. Appl. No. 13/727,324.
USPTO; Final Office Action dated Dec. 1, 2016 in U.S. Appl. No. 13/727,324.
USPTO; Notice of Allowance dated Mar. 1, 2017 in U.S. Appl. No. 13/727,324.
USPTO; Non-Final Office Action dated Oct. 24, 2013 in U.S. Appl. No. 13/749,878.
USPTO; Non-Final Office Action dated Jun. 18, 2014 in U.S. Appl. No. 13/749,878.
USPTO; Final Office Action dated Dec. 10, 2014 in U.S. Appl. No. 13/749,878.
USPTO; Notice of Allowance Mar. 13, 2015 dated in U.S. Appl. No. 13/749,878.
USPTO; Non-Final Office Action dated Sep. 16, 2013 in U.S. Appl. No. 13/760,160.
USPTO; Final Office Action dated Dec. 27, 2013 in U.S. Appl. No. 13/760,160.
USPTO; Non-Final Office Action dated Jun. 4, 2014 in U.S. Appl. No. 13/760,160.
USPTO; Final Office Action dated Sep. 25, 2014 in U.S. Appl. No. 13/760,160.
USPTO; Final Office Action dated Jan. 28, 2015 in U.S. Appl. No. 13/760,160.
USPTO; Final Office Action dated May 12, 2015 in U.S. Appl. No. 13/760,160.
USPTO; Notice of Allowance dated Oct. 21, 2015 in U.S. Appl. No. 13/760,160.
USPTO; Notice of Allowance dated Jan. 20, 2016 in U.S. Appl. No. 13/760,160.
USPTO; Office Action dated Apr. 23, 2014 in U.S. Appl. No. 13/784,362.
USPTO; Notice of Allowance dated Aug. 13, 2014 in U.S. Appl. No. 13/784,362.
USPTO; Non-Final Office Action dated Dec. 19, 2013 in U.S. Appl. No. 13/784,388.
USPTO; Notice of Allowance dated Jun. 4, 2014 in U.S. Appl. No. 13/784,388.
USPTO; Non-Final Office Action dated Sep. 19, 2014 in U.S. Appl. No. 13/791,246.
USPTO; Final Office Action dated Mar. 25, 2015 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Oct. 26, 2015 in U.S. Appl. No. 13/791,246.
USPTO; Final Office Action dated Apr. 20, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Advisory Action dated Jul. 13, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Aug. 11, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Notice of Allowance dated Oct. 19, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Notice of Allowance dated Nov. 25, 2016 in U.S. Appl. No. 13/791,246.
USPTO; Non-Final Office Action dated Nov. 6, 2015 in U.S. Appl. No. 13/791,339.
USPTO; Final Office Action dated Apr. 12, 2016 in U.S. Appl. No. 13/791,339.
USPTO; Advisory Action dated Jul. 14, 2016 in U.S. Appl. No. 13/791,339.
USPTO; Notice of Allowance dated Aug. 24, 2016 in U.S. Appl. No. 13/791,339.
USPTO; Non-Final Office Action dated Mar. 21, 2014 in U.S. Appl. No. 13/799,708.
USPTO; Notice of Allowance dated Oct. 31, 2014 in U.S. Appl. No. 13/799,708.
USPTO; Non-Final Office Action dated Oct. 9, 2014 in U.S. Appl. No. 13/874,708.
USPTO; Notice of Allowance dated Mar. 10, 2015 in U.S. Appl. No. 13/874,708.
USPTO; Notice of Allowance dated Apr. 10, 2014 in U.S. Appl. No. 13/901,341.
USPTO; Notice of Allowance dated Jun. 6, 2014 in U.S. Appl. No. 13/901,341.
USPTO; Non-Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Final Office Action dated Apr. 16, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Notice of Allowance dated Aug. 5, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Advisory Action dated Jun. 29, 2015 in U.S. Appl. No. 13/901,372.
USPTO; Non-Final Office Action dated Jul. 8, 2015 in U.S. Appl. No. 13/901,400.
USPTO; Final Office Action dated Jan. 14, 2016 in U.S. Appl. No. 13/901,400.
USPTO; Notice of Allowance dated Apr. 12, 2016 in U.S. Appl. No. 13/901,400.
USPTO; Non-Final Office Action dated Apr. 24, 2014 in U.S. Appl. No. 13/912,666.
USPTO; Final Office Action dated Sep. 25, 2014 in U.S. Appl. No. 13/912,666.
USPTO; Advisory Action dated Dec. 11, 2014 in U.S. Appl. No. 13/912,666.
USPTO; Non-Final Office Action dated Jan. 26, 2015 in U.S. Appl. No. 13/912,666.
USPTO; Notice of Allowance dated Jun. 25, 2015 in U.S. Appl. No. 13/912,666.
USPTO; Non-Final Office Action dated Dec. 16, 2014 in U.S. Appl. No. 13/915,732.
USPTO; Final Office Action dated Apr. 10, 2015 in U.S. Appl. No. 13/915,732.
USPTO; Notice of Allowance dated Jun. 19, 2015 in U.S. Appl. No. 13/915,732.
USPTO; Notice of Allowance dated Mar. 17, 2015 in U.S. Appl. No. 13/923,197.
USPTO; Non-Final Office Action dated Sep. 12, 2014 in U.S. Appl. No. 13/941,134.
USPTO; Notice of Allowance dated Jan. 20, 2015 in U.S. Appl. No. 13/941,134.
USPTO; Non-Final Office Action dated Jul. 30, 2015 in U.S. Appl. No. 13/941,216.
USPTO; Final Office Action dated Mar. 1, 2016 in U.S. Appl. No. 13/941,216.
USPTO; Non-Final Office Action dated Jun. 15, 2016 in U.S. Appl. No. 13/941,216.
USPTO; Notice of Allowance dated Sep. 13, 2016 in U.S. Appl. No. 13/941,216.
USPTO; Notice of Allowance dated Nov. 14, 2016 in U.S. Appl. No. 13/941,216.
USPTO; Non-Final Office Action dated Jan. 14, 2014 in U.S. Appl. No. 13/941,226.
USPTO; Non-Final Office Action dated Jul. 8, 2014 in U.S. Appl. No. 13/941,226.
USPTO; Non-Final Office Action dated Feb. 3, 2015 in U.S. Appl. No. 13/941,226.
USPTO; Final Office Action dated Feb. 12, 2016 in U.S. Appl. No. 13/941,226.
USPTO; Advisory Action dated Jul. 29, 2016 in U.S. Appl. No. 13/941,226.
USPTO; Non-Final Office Action dated Aug. 8, 2017 in U.S. Appl. No. 13/941,226.
USPTO; Notice of Allowance dated Aug. 13, 2018 in U.S. Appl. No. 13/941,226.
USPTO; Notice of Allowance dated Oct. 3, 2018 in U.S. Appl. No. 13/941,226.
USPTO; Non-Final Office Action dated Oct. 30, 2014 in U.S. Appl. No. 13/948,055.
USPTO; Notice of Allowance dated Feb. 27, 2015 in U.S. Appl. No. 13/948,055.
USPTO; Notice of Allowance dated Mar. 31, 2015 in U.S. Appl. No. 13/948,055.
USPTO; Non-Final Office Action dated Jun. 29, 2015 in U.S. Appl. No. 13/966,782.
USPTO; Final Office Action dated Jan. 4, 2016 in U.S. Appl. No. 13/966,782.
USPTO; Notice of Allowance dated Mar. 21, 2016 in U.S. Appl. No. 13/966,782.
USPTO; Notice of Allowance dated Oct. 7, 2015 in U.S. Appl. No. 13/973,777.
USPTO; Non-Final Office Action dated Feb. 20, 2015 in U.S. Appl. No. 14/018,231.
USPTO; Notice of Allowance dated Jul. 20, 2015 in U.S. Appl. No. 14/018,231.
USPTO; Non-Final Office Action dated Apr. 7, 2015 in U.S. Appl. No. 14/018,345.
USPTO; Final Office Action dated Sep. 14, 2015 in U.S. Appl. No. 14/018,345.
USPTO; Notice of Allowance dated Jan. 14, 2016 in U.S. Appl. No. 14/018,345.
USPTO; Notice of Allowance dated Mar. 17, 2016 in U.S. Appl. No. 14/018,345.
USPTO; Non-Final Office Action dated Mar. 26, 2015 in U.S. Appl. No. 14/031,982.
USPTO; Final Office Action dated Aug. 28, 2015 in U.S. Appl. No. 14/031,982.
USPTO; Notice of Allowance dated Nov. 17, 2015 in U.S. Appl. No. 14/031,982.
USPTO; Non-Final Office Action dated Jan. 2, 2015 in U.S. Appl. No. 14/040,196.
USPTO; Non-Final Office Action dated Apr. 28, 2015 in U.S. Appl. No. 14/040,196.
USPTO; Notice of Allowance dated Sep. 11, 2015 in U.S. Appl. No. 14/040,196.
USPTO; Non-Final Action dated Dec. 3, 2015 in U.S. Appl. No. 14/050,150.
USPTO; Final Office Action dated Jun. 15, 2016 in U.S. Appl. No. 14/050,150.
USPTO; Final Office Action dated Jul. 8, 2016 in U.S. Appl. No. 14/050,150.
USPTO; Notice of Allowance dated Oct. 20, 2016 in U.S. Appl. No. 14/050,150.
USPTO; Non-Final Office Action dated Dec. 15, 2014 in U.S. Appl. No. 14/065,114.
USPTO; Final Office Action dated Jun. 19, 2015 in U.S. Appl. No. 14/065,114.
USPTO; Advisory Action dated Aug. 24, 2015 in U.S. Appl. No. 14/065,114.
USPTO; Non-Final Office Action dated Oct. 7, 2015 in U.S. Appl. No. 14/065,114.
USPTO; Notice of Allowance dated Feb. 22, 2016 in U.S. Appl. No. 14/065,114.
USPTO; Non-Final Office Action dated Nov. 14, 2014 in U.S. Appl. No. 14/069,244.
USPTO; Notice of Allowance dated Mar. 25, 2015 in U.S. Appl. No. 14/069,244.
USPTO; Non-Final Office Action dated Mar. 19, 2015 in U.S. Appl. No. 14/079,302.
USPTO; Final Office Action dated Sep. 1, 2015 in U.S. Appl. No. 14/079,302.
USPTO; Non-Final Office Action dated Dec. 23, 2015 in U.S. Appl. No. 14/079,302.
USPTO; Non-Final Office Action dated Apr. 27, 2016 in U.S. Appl. No. 14/079,302.
USPTO; Final Office Action dated Aug. 22, 2016 in U.S. Appl. No. 14/079,302.
USPTO; Notice of Allowance dated Dec. 14, 2016 in U.S. Appl. No. 14/079,302.
USPTO; Advisory Action dated Nov. 10, 2015 in U.S. Appl. No. 14/079,302.
USPTO; Non-Final Office Action dated Sep. 9, 2015 in U.S. Appl. No. 14/090,750.
USPTO; Final Office Action dated Feb. 11, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Advisory Action dated May 5, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Jun. 14, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Advisory Action dated Dec. 21, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Advisory Action dated Jan. 30, 2018 in U.S. Appl. No. 14/090,750.
USPTO; Final Office Action dated Sep. 28, 2016 in U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Jun. 23, 2017 in U.S. Appl. No. 14/090,750.
USPTO; Final Office Action dated Nov. 17, 2017 in U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Mar. 12, 2018 in U.S. Appl. No. 14/090,750.
USPTO; Notice of Allowance dated Aug. 29, 2018 in U.S. Appl. No. 14/090,750.
USPTO; Non-Final Office Action dated Mar. 19, 2015 in U.S. Appl. No. 14/166,462.
USPTO; Notice of Allowance dated Sep. 3, 2015 in U.S. Appl. No. 14/166,462.
USPTO; Non-Final Office Action dated Nov. 17, 2015 in U.S. Appl. No. 14/172,220.
USPTO; Notice of Allowance dated Apr. 22, 2016 in U.S. Appl. No. 14/172,220.
USPTO; Office Action dated May 29, 2014 in U.S. Appl. No. 14/183,187.
USPTO; Final Office Action dated Nov. 7, 2014 in U.S. Appl. No. 14/183,187.
USPTO; Advisory Action dated Feb. 20, 2015 in U.S. Appl. No. 14/183,187.
USPTO; Non-Final Office Action dated Mar. 16, 2015 in U.S. Appl. No. 14/183,187.
USPTO; Final Office Action dated Jul. 10, 2015 in U.S. Appl. No. 14/183,187.
USPTO; Notice of Allowance dated Aug. 31, 2015 in U.S. Appl. No. 14/183,187.
USPTO; Non-Final Office Action dated Jan. 11, 2016 in U.S. Appl. No. 14/188,760.
USPTO; Final Office Action dated Aug. 25, 2016 in U.S. Appl. No. 14/188,760.
USPTO; Advisory Action dated Jan. 12, 2017 in U.S. Appl. No. 14/188,760.
USPTO; Non-Final Office Action dated Mar. 23, 2017 in U.S. Appl. No. 14/188,760.
USPTO; Final Office Action dated Oct. 5, 2017 in U.S. Appl. No. 14/188,760.
USPTO; Advisory Action dated Jan. 3, 2018 in U.S. Appl. No. 14/188,760.
USPTO; Non-Final Office Action dated Apr. 18, 2018 in U.S. Appl. No. 14/188,760.
USPTO; Final Office Action dated Jan. 25, 2019 in U.S. Appl. No. 14/188,760.
USPTO; Non-Final Office Action dated Aug. 8, 2019 in U.S. Appl. No. 14/188,760.
USPTO; Notice of Allowance dated Feb. 10, 2020 in U.S. Appl. No. 14/188,760.
USPTO; Non-Final Office Action dated Oct. 8, 2015 in U.S. Appl. No. 14/218,374.
USPTO; Final Office Action dated Feb. 23, 2016 in U.S. Appl. No. 14/218,374.
USPTO; Advisory Action dated Apr. 29, 2016 in U.S. Appl. No. 14/218,374.
USPTO; Notice of Allowance dated Aug. 5, 2016 in U.S. Appl. No. 14/218,374.
USPTO; Non-Final Office Action dated Jul. 15, 2016 in U.S. Appl. No. 14/218,690.
USPTO; Final Office Action dated Nov. 14, 2016 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Apr. 6, 2017 in U.S. Appl. No. 14/218,690.
USPTO; Final Office Action dated Jul. 20, 2017 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Jan. 11, 2018 in U.S. Appl. No. 14/218,690.
USPTO; Final Office Action dated May 24, 2018 in U.S. Appl. No. 14/218,690.
USPTO; Notice of Allowance dated Sep. 24, 2018 in U.S. Appl. No. 14/218,690.
USPTO; Non-Final Office Action dated Sep. 22, 2015 in U.S. Appl. No. 14/219,839.
USPTO; Final Office Action dated Mar. 25, 2016 in U.S. Appl. No. 14/219,839.
USPTO; Non-Final Office Action dated Dec. 22, 2016 in U.S. Appl. No. 14/219,839.
USPTO; Advisory Action dated Jun. 30, 2016 in U.S. Appl. No. 14/219,839.
USPTO; Final Office Action dated Jul. 6, 2017 in U.S. Appl. No. 14/219,839.
USPTO; Non-Final Office Action dated Mar. 27, 2018 in U.S. Appl. No. 14/219,839.
USPTO; Final Office Action dated Nov. 1, 2018 in U.S. Appl. No. 14/219,839.
USPTO; Advisory Action dated Jan. 22, 2019 in U.S. Appl. No. 14/219,839.
USPTO; Non-Final Office Action dated Jul. 15, 2019 in U.S. Appl. No. 14/219,839.
USPTO; Final Office Action dated Jan. 27, 2020 in U.S. Appl. No. 14/219,839.
USPTO; Advisory Action dated Apr. 24, 2020 in U.S. Appl. No. 14/219,839.
USPTO; Notice of Allowance dated Feb. 10, 2021 in U.S. Appl. No. 14/219,839.
USPTO; Advisory Action dated Apr. 24, 2020 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Nov. 25, 2015 in U.S. Appl. No. 14/219,879.
USPTO; Final Office action dated May 19, 2016 in U.S. Appl. No. 14/219,879.
USPTO; Advisory Action dated Aug. 22, 2016 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Dec. 23, 2016 in U.S. Appl. No. 14/219,879.
USPTO; Final Office action dated Jul. 6, 2017 in U.S. Appl. No. 14/219,879.
USPTO; Advisory Action dated Oct. 5, 2017 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Apr. 6, 2018 in U.S. Appl. No. 14/219,879.
USPTO; Final Office Action dated Nov. 2, 2018 in U.S. Appl. No. 14/219,879.
USPTO; Advisory Action dated Jan. 22, 2019 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Jun. 24, 2019 in U.S. Appl. No. 14/219,879.
USPTO; Final Office Action dated Jan. 13, 2020 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Dec. 1, 2020 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Sep. 18, 2015 in U.S. Appl. No. 14/244,689.
USPTO; Notice of Allowance dated Feb. 11, 2016 in U.S. Appl. No. 14/244,689.
USPTO; Non-Final Office Action dated Oct. 7, 2015 in U.S. Appl. No. 14/246,969.
USPTO; Final Office Action dated May 4, 2016 in U.S. Appl. No. 14/246,969.
USPTO; Advisory Action dated Aug. 2, 2016 in U.S. Appl. No. 14/246,969.
USPTO; Non-Final Office Action dated Aug. 12, 2016 in U.S. Appl. No. 14/246,969.
USPTO; Notice of Allowance dated Feb. 27, 2017 in U.S. Appl. No. 14/246,969.
USPTO; Non-Final Office Action dated Nov. 20, 2015 in U.S. Appl. No. 14/260,701.
USPTO; Notice of Allowance dated Jun. 2, 2016 in U.S. Appl. No. 14/260,701.
USPTO; Notice of Allowance dated Feb. 23, 2016 in U.S. Appl. No. 14/327,134.
USPTO; Non-Final Office Action dated Aug. 19, 2015 in U.S. Appl. No. 14/268,348.
USPTO; Non-Final Office Action dated Jan. 6, 2016 in U.S. Appl. No. 14/268,348.
USPTO; Final Office Action dated Apr. 29, 2016 in U.S. Appl. No. 14/268,348.
USPTO; Notice of Allowance dated Aug. 19, 2016 in U.S. Appl. No. 14/268,348.
USPTO; Notice of Allowance dated Aug. 30, 2016 in U.S. Appl. No. 14/268,348.
USPTO; Non-Final Office Action dated Oct. 20, 2015 in U.S. Appl. No. 14/281,477.
USPTO; Notice of Allowance dated Mar. 28, 2016 in U.S. Appl. No. 14/281,477.
USPTO; Non-Final Office Action dated Jan. 13, 2017 in U.S. Appl. No. 14/444,744.
USPTO; Final Office Action dated Jul. 10, 2017 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 14/444,744.
USPTO; Final Office Action dated Mar. 28, 2018 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action Dated Jul. 27, 2018 in U.S. Appl. No. 14/444,744.
USPTO; Final Office Action dated Feb. 7, 2019 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action dated Aug. 8, 2019 in U.S. Appl. No. 14/444,744.
USPTO; Final Office Action dated Feb. 20, 2020 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action dated Apr. 28, 2020 in U.S. Appl. No. 14/444,744.
USPTO; Notice of Allowance dated Sep. 3, 2020 in U.S. Appl. No. 14/444,744.
USPTO; Non-Final Office Action dated May 18, 2016 in U.S. Appl. No. 14/449,838.
USPTO; Notice of Allowance dated Nov. 28, 2016 in U.S. Appl. No. 14/449,838.
USPTO; Non-Final Office Action dated Feb. 12, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Action dated Jul. 14, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Nov. 6, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Advisory Action dated Nov. 6, 2015 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Acton dated Jun. 17, 2016 in U.S. Appl. No. 14/457,058.
USPTO; Advisory Action dated Sep. 21, 2016 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Oct. 6, 2016 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Acton dated May 4, 2017 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Oct. 19, 2017 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Action dated Jun. 14, 2018 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Oct. 31, 2019 in U.S. Appl. No. 14/457,058.
USPTO; Final Office Action dated May 15, 2020 in U.S. Appl. No. 14/457,058.
USPTO; Notice of Allowance dated Aug. 6, 2020 in U.S. Appl. No. 14/457,058.
USPTO; Non-Final Office Action dated Sep. 16, 2016 in U.S. Appl. No. 14/465,252.
USPTO; Final Office Action dated Nov. 1, 2016 in U.S. Appl. No. 14/465,252.
USPTO; Non-Final Office Action dated Mar. 6, 2017 in U.S. Appl. No. 14/465,252.
USPTO; Final Office Action dated Jun. 9, 2017 in U.S. Appl. No. 14/465,252.
USPTO; Notice of Allowance dated Oct. 3, 2017 in U.S. Appl. No. 14/465,252.
USPTO; Non-Final Office Action dated Nov. 24, 2015 in U.S. Appl. No. 14/498,036.
USPTO; Final Office Action dated Apr. 5, 2016 in U.S. Appl. No. 14/498,036.
USPTO; Advisory Action dated Jun. 16, 2016 in U.S. Appl. No. 14/498,036.
USPTO; Notice of Allowance dated Aug. 17, 2016 in U.S. Appl. No. 14/498,036.
USPTO; Non-Final Office Action dated Apr. 10, 2015 in U.S. Appl. No. 14/505,290.
USPTO; Notice of Allowance dated Aug. 21, 2015 in U.S. Appl. No. 14/505,290.
USPTO; Non-Final Office Action dated Dec. 17, 2015 in U.S. Appl. No. 14/508,296.
USPTO; Final Office Action dated May 26, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Advisory Action dated Aug. 17, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Non-Final Office Action dated Sep. 8, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Final Office Action dated Dec. 7, 2016 in U.S. Appl. No. 14/508,296.
USPTO; Notice of Allowance dated Jan. 27, 2017 in U.S. Appl. No. 14/508,296.
USPTO; Non-Final Office Action dated Apr. 6, 2017 in U.S. Appl. No. 14/508,489.
USPTO; Final Office Action dated Oct. 4, 2017 in U.S. Appl. No. 14/508,489.
USPTO; Non-Final Office Action dated May 15, 2018 in U.S. Appl. No. 14/508,489.
USPTO; Final Office Action dated Nov. 28, 2018 in U.S. Appl. No. 14/508,489.
USPTO; Non-Final Office Action dated Apr. 4, 2019 in U.S. Appl. No. 14/508,489.
USPTO; Final Office Action dated Aug. 20, 2019 in U.S. Appl. No. 14/508,489.
USPTO; Advisory Action dated Oct. 28, 2019 in U.S. Appl. No. 14/508,489.
USPTO; Non-Final Office Action dated Mar. 5, 2020 in U.S. Appl. No. 14/508,489.
USPTO; Final Office Action dated Aug. 20, 2020 in U.S. Appl. No. 14/508,489.
USPTO; Notice of Allowance dated Nov. 3, 2020 in U.S. Appl. No. 14/508,489.
USPTO; Non-Final Office Action dated Jan. 16, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Final Office Action dated Jul. 16, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Notice of Allowance dated Oct. 15, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Notice of Allowance dated Dec. 2, 2015 in U.S. Appl. No. 14/563,044.
USPTO; Non-Final Office Action dated May 4, 2016 in U.S. Appl. No. 14/568,647.
USPTO; Final Office Action dated Sep. 29, 2016 in U.S. Appl. No. 14/568,647.
USPTO; Advisory Action dated Dec. 21, 2016 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated Feb. 2, 2017 in U.S. Appl. No. 14/568,647.
USPTO; Final Office Action dated May 19, 2017 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated Sep. 14, 2017 in U.S. Appl. No. 14/568,647.
USPTO; Final Office Action dated Jan. 23, 2018 in U.S. Appl. No. 14/568,647.
USPTO; Advisory Action dated Apr. 12, 2018 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated May 25, 2018 in U.S. Appl. No. 14/568,647.
USPTO; Non-Final Office Action dated Oct. 1, 2015 in U.S. Appl. No. 14/571,126.
USPTO; Final Office Action dated Feb. 22, 2016 in U.S. Appl. No. 14/571,126.
USPTO; Notice of Allowance dated May 18, 2016 in U.S. Appl. No. 14/571,126.
USPTO; Notice of Allowance dated Jun. 2, 2016 in U.S. Appl. No. 14/571,126.
USPTO; Non-Final Office Action dated Nov. 25, 2015 in U.S. Appl. No. 14/598,532.
USPTO; Notice of Allowance dated May 16, 2016 in U.S. Appl. No. 14/598,532.
USPTO; Non-Final Office Action dated Jan. 15, 2016 in U.S. Appl. No. 14/606,364.
USPTO; Final Office Action dated Jun. 14, 2016 in U.S. Appl. No. 14/606,364.
USPTO; Advisory Action dated Aug. 25, 2016 in U.S. Appl. No. 14/606,364.
USPTO; Final Office Action dated Jan. 12, 2017 in U.S. Appl. No. 14/606,364.
USPTO; Non-Final Office Action dated May 10, 2017 in U.S. Appl. No. 14/606,364.
USPTO; Notice of Allowance dated Aug. 16, 2017 in U.S. Appl. No. 14/606,364.
USPTO; Non-Final Office Action dated Mar. 3, 2016 in U.S. Appl. No. 14/622,603.
USPTO; Notice of Allowance dated Aug. 2, 2016 in U.S. Appl. No. 14/622,603.
USPTO; Notice of Allowance dated Feb. 16, 2016 in U.S. Appl. No. 14/634,342.
USPTO; Non-Final Office Action dated Oct. 19, 2017 in U.S. Appl. No. 14/645,234.
USPTO; Non-Final Office Action dated May 16, 2018 in U.S. Appl. No. 14/645,234.
USPTO; Final Office Action dated Aug. 10, 2018 in U.S. Appl. No. 14/645,234.
USPTO; Notice of Allowance dated Aug. 15, 2019 in U.S. Appl. No. 14/645,234.
USPTO; Non-Final Office Action dated Jun. 7, 2017 in U.S. Appl. No. 14/656,588.
USPTO; Final Office Action dated Dec. 26, 2017 in U.S. Appl. No. 14/656,588.
USPTO; Non-Final Office Action dated Apr. 6, 2018 in U.S. Appl. No. 14/656,588.
USPTO; Notice of Allowance dated Nov. 19, 2018 in U.S. Appl. No. 14/656,588.
USPTO; Non-Final Office Action dated Mar. 21, 2016 in U.S. Appl. No. 14/659,152.
USPTO; Final Office Action dated Jul. 29, 2016 in U.S. Appl. No. 14/659,152.
USPTO; Notice of Allowance dated Nov. 22, 2016 in U.S. Appl. No. 14/659,152.
USPTO; Non-Final Office Action dated Nov. 19, 2015 in U.S. Appl. No. 14/659,437.
USPTO; Final Office Action dated Mar. 17, 2016 in U.S. Appl. No. 14/659,437.
USPTO; Notice of Allowance dated May 31, 2016 in U.S. Appl. No. 14/659,437.
USPTO; Non-Final Office Action dated Sep. 7, 2017 in U.S. Appl. No. 14/660,755.
USPTO; Notice of Allowance dated Oct. 2, 2017 in U.S. Appl. No. 14/660,755.
USPTO; Notice of Allowance dated Mar. 25, 2016 in U.S. Appl. No. 14/693,138.
USPTO; Non-Final Office Action dated Aug. 3, 2017 in U.S. Appl. No. 14/752,712.
USPTO; Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 14/752,712.
USPTO; Advisory Action dated Feb. 15, 2018 in U.S. Appl. No. 14/752,712.
USPTO; Non-Final Office Action dated Mar. 21, 2018 in U.S. Appl. No. 14/752,712.
USPTO; Final Office Action dated Sep. 5, 2018 in U.S. Appl. No. 14/752,712.
USPTO; Non-Final Office Action dated Dec. 28, 2018 in U.S. Appl. No. 14/752,712.
USPTO; Notice of Allowance dated Jun. 11, 2019 in U.S. Appl. No. 14/752,712.
USPTO; Non-Final Office Action dated Nov. 29, 2017 in U.S. Appl. No. 14/793,323.
USPTO; Final Office Action dated Mar. 29, 2018 in U.S. Appl. No. 14/793,323.
USPTO; Non-Final Office Action dated Aug. 10, 2018 in U.S. Appl. No. 14/793,323.
USPTO; Final Office Action dated Feb. 25, 2019 in U.S. Appl. No. 14/793,323.
UPSTO; Non-Final Office Action dated Jun. 27, 2019 in U.S. Appl. No. 14/793,323.
USPTO; Notice of Allowance dated Nov. 14, 2019 in U.S. Appl. No. 14/793,323.
USPTO; Non-Final Office Action dated Jun. 16, 2017 in U.S. Appl. No. 14/798,136.
USPTO; Notice of Allowance dated Oct. 5, 2017 in U.S. Appl. No. 14/798,136.
USPTO; Non-Final Office Action dated Mar. 30, 2016 in U.S. Appl. No. 14/808,979.
USPTO; Final Office Acton dated Sep. 30, 2016 in U.S. Appl. No. 14/808,979.
USPTO; Non-Final Office Action dated Dec. 20, 2016 in U.S. Appl. No. 14/808,979.
USPTO; Final Office Action dated Jun. 8, 2017 in U.S. Appl. No. 14/808,979.
USPTO; Non-Final Office Action dated Sep. 21, 2017 in U.S. Appl. No. 14/808,979.
USPTO; Final Office Action dated Mar. 14, 2018 in U.S. Appl. No. 14/808,979.
USPTO; Notice of Allowance dated Jun. 27, 2018 in U.S. Appl. No. 14/808,979.
USPTO; Non-Final Office Action dated Feb. 23, 2018 in U.S. Appl. No. 14/817,953.
USPTO; Notice of Allowance dated Jul. 11, 2018 in U.S. Appl. No. 14/817,953.
USPTO; Non-Final Office Action dated Sep. 1, 2016 in U.S. Appl. No. 14/827,177.
USPTO; Notice of Allowance dated Jan. 27, 2017 in U.S. Appl. No. 14/827,177.
USPTO; Non-Final Office Action dated Sep. 9, 2016 in U.S. Appl. No. 14/829,565.
USPTO; Final Office Action dated Feb. 9, 2017 in U.S. Appl. No. 14/829,565.
USPTO; Advisory Action dated Apr. 20, 2017 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Sep. 19, 2017 in U.S. Appl. No. 14/829,565.
USPTO; Final Office Action dated Mar. 5, 2018 in U.S. Appl. No. 14/829,565.
USPTO; Advisory Action dated Aug. 10, 2018 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Sep. 6, 2018 in U.S. Appl. No. 14/829,565.
USPTO; Final Office Action dated Apr. 18, 2019 in U.S. Appl. No. 14/829,565.
USPTO; Advisory Action dated Jul. 22, 2019 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Sep. 19, 2019 in U.S. Appl. No. 14/829,565.
USPTO; Final Office Action dated Mar. 3, 2020 in U.S. Appl. No. 14/829,565.
USPTO; Advisory Action dated Jun. 22, 2020 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Aug. 3, 2020 in U.S. Appl. No. 14/829,565.
USPTO; Final Office Action dated Feb. 1, 2021 in U.S. Appl. No. 14/829,565.
USPTO; Advisory Action dated Apr. 13, 2021 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated May 12, 2021 in U.S. Appl. No. 14/829,565.
USPTO; Non-Final Office Action dated Apr. 29, 2016 in U.S. Appl. No. 14/835,637.
USPTO; Final Office Action dated Nov. 25, 2016 in U.S. Appl. No. 14/835,637.
USPTO; Advisory Action dated Feb. 14, 2017 in U.S. Appl. No. 14/835,637.
USPTO; Notice of Allowance dated Apr. 25, 2017 in U.S. Appl. No. 14/835,637.
USPTO; Non-Final Office Action dated Jul. 29, 2016 in U.S. Appl. No. 14/884,695.
USPTO; Final Office Action dated Feb. 9, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Advisory Action dated Apr. 20, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Non-Final Office Action dated May 18, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Notice of Allowance dated Oct. 20, 2017 in U.S. Appl. No. 14/884,695.
USPTO; Non-Final Office Action dated May 18, 2017 in U.S. Appl. No. 14/886,571.
USPTO; Final Office Action dated Sep. 21, 2017 in U.S. Appl. No. 14/886,571.
USPTO; Notice of Allowance dated Dec. 6, 2017 in U.S. Appl. No. 14/886,571.
USPTO; Non-Final Office Action dated Dec. 1, 2016 in U.S. Appl. No. 14/919,536.
USPTO; Final Office Action dated Mar. 28, 2017 in U.S. Appl. No. 14/919,536.
USPTO; Non-Final Office Action dated Aug. 29, 2017 in U.S. Appl. No. 14/919,536.
USPTO; Final Office Action dated May 11, 2018 in U.S. Appl. No. 14/919,536.
USPTO; Notice of Allowance dated Oct. 4, 2018 in U.S. Appl. No. 14/919,536.
USPTO; Notice of Allowance dated Nov. 19, 2018 in U.S. Appl. No. 14/919,536.
USPTO; Non-Final Office Action dated May 3, 2016 in U.S. Appl. No. 14/937,053.
USPTO; Notice of Allowance dated Jul. 26, 2016 in U.S. Appl. No. 14/937,053.
USPTO; Non-Final Office Action dated Dec. 15, 2016 in U.S. Appl. No. 14/938,180.
USPTO; Notice of Allowance dated Nov. 9, 2017 in U.S. Appl. No. 14/938,180.
USPTO; Non-Final Office Action dated Apr. 14, 2017 in U.S. Appl. No. 14/956,115.
USPTO; Final Office Action dated Jul. 21, 2017 in U.S. Appl. No. 14/956,115.
USPTO; Notice of Allowance dated Dec. 14, 2017 in U.S. Appl. No. 14/956,115.
USPTO; Notice of Allowance dated Feb. 3, 2017 in U.S. Appl. No. 14/977,291.
USPTO; Non-Final Office Action dated Aug. 12, 2016 in U.S. Appl. No. 14/981,434.
USPTO; Notice of Allowance dated Nov. 21, 2016 in U.S. Appl. No. 14/981,434.
USPTO; Non-Final Office Action dated Jan. 12, 2017 in U.S. Appl. No. 14/981,468.
USPTO; Notice of Allowance dated Jun. 7, 2017 in U.S. Appl. No. 14/981,468.
USPTO; Non-Final Office Action dated Mar. 22, 2016 in U.S. Appl. No. 14/987,420.
USPTO; Final Office Action dated Jun. 10, 2016 in U.S. Appl. No. 14/987,420.
USPTO; Non-Final Office Action dated Dec. 14, 2016 in U.S. Appl. No. 14/997,683.
USPTO; Final Office Action dated Apr. 14, 2017 in U.S. Appl. No. 14/997,683.
USPTO; Non-Final Office Action dated Sep. 1, 2017 in U.S. Appl. No. 14/997,683.
USPTO; Final Office Action dated Feb. 6, 2018 in U.S. Appl. No. 14/997,683.
USPTO; Advisory Action dated May 2, 2018 in U.S. Appl. No. 14/997,683.
USPTO; Non-Final Office Action dated Jun. 20, 2018 in U.S. Appl. No. 14/997,683.
USPTO; Final Office Action dated Dec. 10, 2018 in U.S. Appl. No. 14/997,683.
USPTO; Notice of Allowance dated Mar. 25, 2019 in U.S. Appl. No. 14/997,683.
USPTO; Non-Final Office Action dated Sep. 23, 2016 in U.S. Appl. No. 15/048,422.
USPTO; Notice of Allowance dated May 4, 2017 in U.S. Appl. No. 15/048,422.
USPTO; Non-Final Office Action dated Aug. 4, 2017 in U.S. Appl. No. 15/050,159.
USPTO; Notice of Allowance dated Feb. 7, 2018 in U.S. Appl. No. 15/050,159.
USPTO; Non-Final Office Action dated Apr. 22, 2016 in U.S. Appl. No. 15/055,122.
USPTO; Notice of Allowance dated Sep. 15, 2016 in U.S. Appl. No. 15/055,122.
USPTO; Non-Final Office Action dated Feb. 20, 2018 in U.S. Appl. No. 15/060,412.
USPTO; Final Office Action dated Oct. 19, 2018 in U.S. Appl. No. 15/060,412.
USPTO; Non-Final Office Action dated Jun. 3, 2019 in U.S. Appl. No. 15/060,412.
USPTO; Final Office Action dated Dec. 26, 2019 in U.S. Appl. No. 15/060,412.
USPTO; Notice of Allowance dated Mar. 5, 2020 in U.S. Appl. No. 15/060,412.
USPTO; Non-Final Office Action dated Aug. 27, 2018 in U.S. Appl. No. 15/067,028.
USPTO; Notice of Allowance dated Dec. 21, 2018 in U.S. Appl. No. 15/067,028.
USPTO; Non-Final Office Action dated Sep. 26, 2018 in U.S. Appl. No. 15/074,813.
USPTO; Notice of Allowance dated Feb. 25, 2019 in U.S. Appl. No. 15/074,813.
USPTO; Non-Final Office Action dated Jan. 9, 2018 in U.S. Appl. No. 15/135,224.
USPTO; Notice of Allowance dated Jun. 29, 2018 in U.S. Appl. No. 15/135,224.
USPTO; Non-Final Office Action dated Jan. 9, 2018 in U.S. Appl. No. 15/135,258.
USPTO; Final Office Action dated Jul. 6, 2018 in U.S. Appl. No. 15/135,258.
USPTO; Non-Final Office Action dated Nov. 23, 2018 in U.S. Appl. No. 15/135,258.
USPTO; Final Office Action dated Mar. 14, 2019 in U.S. Appl. No. 15/135,258.
USPTO; Non-Final Office Action dated Jul. 19, 2019 in U.S. Appl. No. 15/135,258.
USPTO; Final Office Action dated Oct. 24, 2019 in U.S. Appl. No. 15/135,258.
USPTO; Advisory Action dated Jan. 3, 2020 in U.S. Appl. No. 15/135,258.
USPTO; Non-Final Office Action dated Feb. 13, 2020 in U.S. Appl. No. 15/135,258.
USPTO; Final Office Action dated Jun. 1, 2020 in U.S. Appl. No. 15/135,258.
USPTO; Non-Final Office Action dated Jan. 9, 2018 in U.S. Appl. No. 15/135,333.
USPTO; Notice of Allowance dated Aug. 18, 2020 in U.S. Appl. No. 15/135,258.
USPTO; Notice of Allowance dated Sep. 14, 2018 in U.S. Appl. No. 15/135,333.
USPTO; Non-Final Office Action dated Nov. 21, 2016 in U.S. Appl. No. 15/144,481.
USPTO; Final Office Action dated May 26, 2017 in U.S. Appl. No. 15/144,481.
USPTO; Non-Final Office Action dated Sep. 21, 2017 in U.S. Appl. No. 15/144,481.
USPTO; Notice of Allowance dated Mar. 26, 2018 in U.S. Appl. No. 15/144,481.
USPTO; Notice of Allowance dated Apr. 11, 2018 in U.S. Appl. No. 15/144,481.
USPTO; Non-Final Office Action dated Apr. 13, 2017 in U.S. Appl. No. 15/144,506.
USPTO; Final Office Action dated Oct. 10, 2017 in U.S. Appl. No. 15/144,506.
USPTO; Final Office Action dated Jul. 26, 2018 in U.S. Appl. No. 15/144,506.
USPTO; Notice of Allowance dated Mar. 13, 2019 in U.S. Appl. No. 15/144,506.
USPTO; Non-Final Office Action dated Oct. 9, 2018 in U.S. Appl. No. 15/182,504.
USPTO; Final Office Action dated Mar. 28, 2019 in U.S. Appl. No. 15/182,504.
USPTO; Notice of Allowance dated Jul. 17, 2019 in U.S. Appl. No. 15/182,504.
USPTO; Non-Final Office Action dated Nov. 28, 2016 in U.S. Appl. No. 15/203,632.
USPTO; Final Office Action dated Jun. 7, 2017 in U.S. Appl. No. 15/203,632.
USPTO; Advisory Action dated Aug. 23, 2017 in U.S. Appl. No. 15/203,632.
USPTO; Notice of Allowance dated Sep. 20, 2017 in U.S. Appl. No. 15/203,632.
USPTO; Non-Final Office Action dated Nov. 29, 2016 in U.S. Appl. No. 15/203,642.
USPTO; Final Office Action dated Apr. 13, 2017 in U.S. Appl. No. 15/203,642.
USPTO; Advisory Action dated Jun. 22, 2017 in U.S. Appl. No. 15/203,642.
USPTO; Notice of Allowance dated Aug. 7, 2017 in U.S. Appl. No. 15/203,642.
USPTO; Non-Final Office Action dated Jun. 1, 2017 in U.S. Appl. No. 15/205,827.
USPTO; Final Office Action dated Oct. 16, 2017 in U.S. Appl. No. 15/205,827.
USPTO; Non-Final Office Action dated May 14, 2018 in U.S. Appl. No. 15/205,827.
USPTO; Final Office Action dated Oct. 9, 2018 in U.S. Appl. No. 15/205,827.
USPTO; Non-Final Office Action dated Mar. 28, 2019 in U.S. Appl. No. 15/205,827.
USPTO; Final Office Action dated Aug. 9, 2019 in U.S. Appl. No. 15/205,827.
USPTO; Advisory Action dated Oct. 22, 2019 in U.S. Appl. No. 15/205,827.
USPTO; Notice of Allowance dated Dec. 3, 2019 in U.S. Appl. No. 15/205,827.
USPTO; Non-Final Office Action dated Mar. 31, 2017 in U.S. Appl. No. 15/205,890.
USPTO; Notice of Allowance dated Oct. 16, 2017 in U.S. Appl. No. 15/205,890.
USPTO; Non-Final Office Action dated Jan. 20, 2017 in U.S. Appl. No. 15/210,256.
USPTO; Notice of Allowance dated May 18, 2017 in U.S. Appl. No. 15/210,256.
USPTO; Notice of Allowance dated Jul. 24, 2017 in U.S. Appl. No. 15/210,256.
USPTO; Non Final Office Action dated Apr. 21, 2017 in U.S. Appl. No. 15/222,715.
USPTO; Notice of Allowance dated Jul. 14, 2017 in U.S. Appl. No. 15/222,715.
USPTO; Notice of Allowance dated Sep. 27, 2017 in U.S. Appl. No. 15/222,715.
USPTO; Non-Final Office Action dated Feb. 3, 2017 in U.S. Appl. No. 15/222,738.
USPTO; Notice of Allowance dated May 22, 2017 in U.S. Appl. No. 15/222,738.
USPTO; Notice of Allowance dated Aug. 23, 2017 in U.S. Appl. No. 15/222,738.
USPTO; Non-Final Office Action dated Jan. 17, 2017 in U.S. Appl. No. 15/222,749.
USPTO; Final Office Action dated May 5, 2017 in U.S. Appl. No. 15/222,749.
USPTO; Non-Final Office Action dated Sep. 7, 2017 in U.S. Appl. No. 15/222,749.
USPTO: Final Office Action dated Jun. 4, 2018 in U.S. Appl. No. 15/222,749.
USPTO; Notice of Allowance dated Aug. 30, 2018 in U.S. Appl. No. 15/222,749.
USPTO; Non-Final Office Action dated Jan. 3, 2017 in U.S. Appl. No. 15/222,780.
USPTO; Final Office Action dated May 5, 2017 in U.S. Appl. No. 15/222,780.
USPTO; Non-Final Office Action dated Sep. 7, 2017 in U.S. Appl. No. 15/222,780.
USPTO; Final Office Action dated May 17, 2018 in U.S. Appl. No. 15/222,780.
USPTO; Non-Final Office Action dated Oct. 1, 2018 in U.S. Appl. No. 15/222,780.
USPTO; Notice of Allowance dated Apr. 19, 2019 in U.S. Appl. No. 15/222,780.
USPTO; Notice of Allowance dated Jul. 12, 2018 in U.S. Appl. No. 15/254,605.
USPTO; Non-Final Office Action dated Aug. 28, 2017 in U.S. Appl. No. 15/254,724.
USPTO; Notice of Allowance dated Jan. 17, 2018 in U.S. Appl. No. 15/254,724.
USPTO; Notice of Allowance dated Apr. 2, 2018 in U.S. Appl. No. 15/254,724.
USPTO; Non-Final Office Action dated May 22, 2018 in U.S. Appl. No. 15/262,990.
USPTO; Non-Final Office Action dated Sep. 13, 2018 in U.S. Appl. No. 15/262,990.
USPTO; Non-Final Office Action dated Jan. 30, 2019 in U.S. Appl. No. 15/262,990.
USPTO; Final Office Action dated May 13, 2019 in U.S. Appl. No. 15/262,990.
USPTO; Advisory Action dated Jul. 22, 2019 in U.S. Appl. No. 15/262,990.
USPTO; Non-Final Office Action dated Aug. 5, 2019 in U.S. Appl. No. 15/262,990.
USPTO; Final Office Action dated Nov. 19, 2019 in U.S. Appl. No. 15/262,990.
USPTO; Advisory Action dated Jan. 30, 2020 in U.S. Appl. No. 15/262,990.
USPTO; Non-Final Office Action dated Oct. 6, 2020 in U.S. Appl. No. 15/262,990.
USPTO; Final Office Action dated Feb. 24, 2021 in U.S. Appl. No. 15/262,990.
USPTO; Advisory Action dated Apr. 28, 2021 in U.S. Appl. No. 15/262,990.
USPTO; Non-Final Office Action dated Aug. 3, 2018 in U.S. Appl. No. 15/273,488.
USPTO; Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/273,488.
USPTO; Notice of Allowance dated Apr. 19, 2019 in U.S. Appl. No. 15/273,488.
USTPO; Non-Final Office Action dated Jul. 2, 2018 in U.S. Appl. No. 15/286,503.
USPTO; Final Office Action dated Feb. 7, 2019 in U.S. Appl. No. 15/286,503.
USPTO; Non-Final Office Action dated Jun. 27, 2019 in U.S. Appl. No. 15/286,503.
USPTO; Final Office Action dated Jan. 6, 2020 in U.S. Appl. No. 15/286,503.
USPTO; Advisory Action dated Mar. 31, 2020 in U.S. Appl. No. 15/286,503.
USPTO; Non-Final Office Action dated Apr. 16, 2020 in U.S. Appl. No. 15/286,503.
USPTO; Final Office Action dated Oct. 2, 2020 in U.S. Appl. No. 15/286,503.
USPTO; Non-Final Office Action dated Dec. 24, 2020 in U.S. Appl. No. 15/286,503.
USPTO; Final Office Action dated Mar. 25, 2021 in U.S. Appl. No. 15/286,503.
USPTO; Non-Final Office Action dated Dec. 14, 2018 in U.S. Appl. No. 15/340,512.
USPTO; Notice of Allowance dated May 24, 2019 in U.S. Appl. No. 15/340,512.
USPTO; Non-Final Office Action dated Oct. 23, 2017 in U.S. Appl. No. 15/377,439.
USPTO; Final Office Action dated Apr. 16, 2018 in U.S. Appl. No. 15/377,439.
USPTO; Advisory Action dated Aug. 8, 2018 in U.S. Appl. No. 15/377,439.
USPTO; Non-Final Office Action dated Nov. 14, 2018 in U.S. Appl. No. 15/377,439.
USPTO; Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 15/377,439.
USPTO; Non-Final Office Action dated Nov. 7, 2019 in U.S. Appl. No. 15/377,439.
USPTO; Final Office Action dated May 13, 2020 in U.S. Appl. No. 15/377,439.
USPTO; Non-Final Office Action dated Sep. 2, 2020 in U.S. Appl. No. 15/377,439.
USPTO; Final Office Action dated Feb. 12, 2021 in U.S. Appl. No. 15/377,439.
USPTO; Advisory Action dated Apr. 30, 2021 in U.S. Appl. No. 15/377,439.
USPTO; Notice of Allowance dated Aug. 8, 2017 in U.S. Appl. No. 15/380,895.
USPTO; Notice of Allowance dated Oct. 11, 2017 in U.S. Appl. No. 15/380,895.
USPTO; Non-Final Office Action dated May 31, 2019 in U.S. Appl. No. 15/380,909.
USPTO; Final Office Action dated Dec. 12, 2019 in U.S. Appl. No. 15/380,909.
USPTO; Non-Final Office Action dated Jul. 1, 2020 in U.S. Appl. No. 15/380,909.
USPTO; Final Office Action dated Jan. 7, 2021 in U.S. Appl. No. 15/380,909.
USPTO; Non-Final Office Action dated Jan. 4, 2018 in U.S. Appl. No. 15/380,921.
USPTO; Final Office Action dated Jun. 28, 2018 in U.S. Appl. No. 15/380,921.
USPTO; Non-Final Office Action dated Feb. 25, 2019 in U.S. Appl. No. 15/380,921.
USPTO; Final Office Action dated Sep. 18, 2019 in U.S. Appl. No. 15/380,921.
USPTO; Non-Final Office Action dated Jan. 15, 2020 in U.S. Appl. No. 15/380,921.
USPTO; Final Office Action dated Jul. 23, 2020 in U.S. Appl. No. 15/380,921.
USPTO Non-Final Office Action dated Dec. 10, 2020 in U.S. Appl. No. 15/380,921.
USPTO; Non-Final Office Action dated Oct. 3, 2017 in U.S. Appl. No. 15/388,410.
USPTO; Final Office Action dated May 15, 2018 in U.S. Appl. No. 15/388,410.
USPTO; Notice of Allowance dated Nov. 14, 2018 in U.S. Appl. No. 15/388,410.
USPTO; Notice of Allowance dated Dec. 28, 2018 in U.S. Appl. No. 15/388,410.
USPTO; Non-Final Office Action dated Aug. 11, 2017 in U.S. Appl. No. 15/397,237.
USPTO; Notice of Allowance dated Dec. 22, 2017 in U.S. Appl. No. 15/397,237.
USPTO; Non-Final Office Action dated Apr. 12, 2017 in U.S. Appl. No. 15/397,319.
USPTO; Final Office Action dated Jul. 12, 2017 in U.S. Appl. No. 15/397,319.
USPTO; Notice of Allowance dated Dec. 15, 2017 in U.S. Appl. No. 15/397,319.
USPTO; Non-Final Office Action dated Feb. 5, 2019 in U.S. Appl. No. 15/402,993.
USPTO; Final Office Action dated May 21, 2019 in U.S. Appl. No. 15/402,993.
USPTO; Advisory Action dated Jul. 29, 2019 in U.S. Appl. No. 15/402,993.
USPTO; Non-Final Office Action dated Oct. 24, 2019 in U.S. Appl. No. 15/402,993.
USPTO; Final Office Action dated Feb. 19, 2020 in U.S. Appl. No. 15/402,993.
USPTO; Advisory Action dated Apr. 2, 2020 in U.S. Appl. No. 15/402,993.
USPTO; Non-Final Office Action dated May 27, 2020 in U.S. Appl. No. 15/402,993.
USPTO; Final Office Action dated Sep. 8, 2020 in U.S. Appl. No. 15/402,993.
USPTO; Advisory Action dated Nov. 9, 2020 in U.S. Appl. No. 15/402,993.
USPTO; Non-Final Office Action dated Feb. 9, 2021 in U.S. Appl. No. 15/402,993.
USPTO; Final Office Action dated May 21, 2021 in U.S. Appl. No. 15/402,993.
USPTO; Non-Final Office Action dated Sep. 20, 2018 in U.S. Appl. No. 15/410,503.
USPTO; Final Office Action dated Feb. 4, 2019 in U.S. Appl. No. 15/410,503.
USPTO; Non-Final Office Action dated Apr. 25, 2019 in U.S. Appl. No. 15/410,503.
USPTO; Notice of Allowance dated Aug. 14, 2019 in U.S. Appl. No. 15/410,503.
USPTO; Non-Final Office Action dated Aug. 7, 2018 in U.S. Appl. No. 15/428,808.
USPTO; Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/428,808.
USPTO; Notice of Allowance dated Apr. 25, 2019 in U.S. Appl. No. 15/428,808.
USPTO; Non-Final Office Action dated Apr. 6, 2018 in U.S. Appl. No. 15/434,051.
USPTO; Final Office Action dated Aug. 29, 2018 in U.S. Appl. No. 15/434,051.
USPTO; Advisory Action dated Dec. 4, 2018 in U.S. Appl. No. 15/434,051.
USPTO; Non-Final Office Action dated Jan. 25, 2019 in U.S. Appl. No. 15/434,051.
USPTO; Notice of Allowance dated Jun. 3, 2019 in U.S. Appl. No. 15/434,051.
USPTO; Notice of Allowance dated Oct. 6, 2017 in U.S. Appl. No. 15/450,199.
USPTO; Non-Final Office Action dated Dec. 15, 2017 in U.S. Appl. No. 15/466,149.
USPTO; Notice of Allowance dated Apr. 20, 2018 in U.S. Appl. No. 15/466,149.
USPTO; Non-Final Office Action dated Apr. 6, 2018 in U.S. Appl. No. 15/472,750.
USPTO; Notice of Allowance dated Nov. 30, 2018 in U.S. Appl. No. 15/472,750.
USPTO; Non-Final Office Action dated Dec. 6, 2017 in U.S. Appl. No. 15/476,035.
USPTO; Notice of Allowance dated Mar. 21, 2018 in U.S. Appl. No. 15/476,035.
USPTO; Notice of Allowance dated Aug. 14, 2018 in U.S. Appl. No. 15/476,035.
USPTO; Non-Final Office Action dated Oct. 4, 2017 in U.S. Appl. No. 15/489,453.
USPTO; Final Office Action dated Apr. 19, 2018 in U.S. Appl. No. 15/489,453.
USPTO; Non-Final Office Action dated Sep. 10, 2018 in U.S. Appl. No. 15/489,453.
USPTO; Final Office Action dated Feb. 27, 2019 in U.S. Appl. No. 15/489,453.
USPTO; Non-Final Office Action dated Jun. 5, 2019 in U.S. Appl. No. 15/489,453.
USPTO; Notice of Allowance dated Oct. 7, 2019 in U.S. Appl. No. 15/489,453.
USPTO; Notice of Allowance dated Dec. 19, 2017 in U.S. Appl. No. 15/489,660.
USPTO; Final Office Action dated May 1, 2019 in U.S. Appl. No. 15/491,726.
USPTO; Non-Final Office Action dated May 31, 2018 in U.S. Appl. No. 15/491,726.
USPTO; Non-Final Office Action dated Oct. 3, 2019 in U.S. Appl. No. 15/491,726.
USPTO; Final Office Action dated Apr. 17, 2020 in U.S. Appl. No. 15/491,726.
USPTO; Non-Final Office Action dated Sep. 30, 2020 in U.S. Appl. No. 15/491,726.
USPTO; Non-Final Office Action dated Jan. 16, 2018 in U.S. Appl. No. 15/499,647.
USPTO; Notice of Allowance dated May 23, 2018 in U.S. Appl. No. 15/499,647.
USPTO; Non-Final Office Action dated Jun. 21, 2018 in U.S. Appl. No. 15/499,647.
USPTO; Notice of Allowance dated Nov. 1, 2018 in U.S. Appl. No. 15/499,647.
USPTO; Notice of Allowance dated Nov. 15, 2018 in U.S. Appl. No. 15/499,647.
USPTO; Office Action dated Aug. 30, 2018 in U.S. Appl. No. 15/589,849.
USPTO; Final Office Action dated Mar. 6, 2019 in U.S. Appl. No. 15/589,849.
USPTO; Non-Final Office Action dated Jun. 28, 2019 in U.S. Appl. No. 15/589,849.
USPTO; Final Office Action dated Jan. 8, 2020 in U.S. Appl. No. 15/589,849.
USPTO; Notice of Allowance dated Feb. 28, 2020 in U.S. Appl. No. 15/589,849.
USPTO; Office Action dated May 3, 2018 in U.S. Appl. No. 15/589,861.
USPTO; Non-Final Office Action dated Dec. 21, 2018 in U.S. Appl. No. 15/589,861.
USPTO; Final Office Action dated Jun. 26, 2019 in U.S. Appl. No. 15/589,861.
USPTO; Advisory Action dated Sep. 20, 2019 in U.S. Appl. No. 15/589,861.
USPTO; Non-Final Office Action dated Jan. 13, 2020 in U.S. Appl. No. 15/589,861.
USPTO; Final Office Action dated Jul. 8, 2020 in U.S. Appl. No. 15/589,861.
USPTO; Notice of Allowance dated Oct. 16, 2020 in U.S. Appl. No. 15/589,861.
USPTO; Non-Final Office Action dated Apr. 4, 2018 in U.S. Appl. No. 15/592,730.
USPTO; Final Office Action dated Nov. 16, 2018 in U.S. Appl. No. 15/592,730.
USPTO; Advisory Action dated Mar. 15, 2019 in U.S. Appl. No. 15/592,730.
USPTO; Notice of Allowance dated Aug. 21, 2019 in U.S. Appl. No. 15/592,730.
USPTO; Non-Final Office Action dated Mar. 7, 2019 in U.S. Appl. No. 15/598,169.
USPTO; Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 15/598,169.
USPTO; Notice of Allowance dated Sep. 11, 2019 in U.S. Appl. No. 15/598,169.
USPTO; Non-Final Office Action dated Mar. 10, 2020 in U.S. Appl. No. 15/611,707.
USPTO; Final Office Action dated Sep. 16, 2020 in U.S. Appl. No. 15/611,707.
USPTO; Advisory Action dated Nov. 20, 2020 in U.S. Appl. No. 15/611,707.
USPTO; Non-Final Office Action dated Feb. 3, 2021 in U.S. Appl. No. 15/611,707.
USPTO; Final Office Action dated Jun. 2, 2021 in U.S. Appl. No. 15/611,707.
USPTO; Ex Parte Quayle Action dated Mar. 21, 2019 in U.S. Appl. No. 15/615,489.
USPTO; Non-Final Office Action dated Sep. 4, 2019 in U.S. Appl. No. 15/615,489.
USPTO; Notice of Allowance dated Feb. 28, 2020 in U.S. Appl. No. 15/615,489.
USPTO; Non-Final Office Action dated Feb. 1, 2019 in U.S. Appl. No. 15/627,189.
USPTO; Notice of Allowance dated May 21, 2019 in U.S. Appl. No. 15/627,189.
USPTO; Non-Final Office Action dated Nov. 9, 2018 in U.S. Appl. No. 15/636,307.
USPTO; Final Office Action dated Mar. 6, 2019 in U.S. Appl. No. 15/636,307.
USPTO; Non-Final Office Action dated Jul. 16, 2019 in U.S. Appl. No. 15/636,307.
USPTO; Final Office Action dated Nov. 12, 2019 in U.S. Appl. No. 15/636,307.
USPTO; Advisory Action dated Jan. 17, 2020 in U.S. Appl. No. 15/636,307.
USPTO; Non-Final Office Action dated Mar. 11, 2020 in U.S. Appl. No. 15/636,307.
USPTO; Final Office Action dated Jun. 16, 2020 in U.S. Appl. No. 15/636,307.
USPTO; Non-Final Office Action dated Sep. 3, 2020 in U.S. Appl. No. 15/636,307.
USPTO; Final Office Action dated Jan. 19, 2021 in U.S. Appl. No. 15/636,307.
USPTO; Advisory Action dated Mar. 25, 2021 in U.S. Appl. No. 15/636,307.
USPTO; Non-Final Office Action dated Apr. 21, 2021 in U.S. Appl. No. 15/636,307.
USPTO; Notice of Allowance dated Jul. 18, 2018 in U.S. Appl. No. 15/640,239.
USPTO; Notice of Allowance dated Aug. 30, 2018 in U.S. Appl. No. 15/640,239.
USPTO; Non-Final Office Action dated Jun. 5, 2018 in U.S. Appl. No. 15/650,686.
USPTO; Final Office Action dated Nov. 20, 2018 in U.S. Appl. No. 15/650,686.
USPTO; Notice of Allowance dated Jun. 24, 2019 in U.S. Appl. No. 15/650,686.
USPTO; Non-Final Office Action dated Sep. 21, 2018 in U.S. Appl. No. 15/659,631.
USPTO; Notice of Allowance dated Feb. 21, 2019 in U.S. Appl. No. 15/659,631.
USPTO; Non-Final Office Action dated Jul. 29, 2019 in U.S. Appl. No. 15/660,797.
USPTO; Notice of Allowance dated Nov. 7, 2019 in U.S. Appl. No. 15/660,797.
USPTO; Non-Final Office Action dated Aug. 9, 2018 in U.S. Appl. No. 15/660,805.
USPTO; Non-Final Office Action dated Mar. 1, 2019 in U.S. Appl. No. 15/660,805.
USPTO; Notice of Allowance dated Aug. 22, 2019 in U.S. Appl. No. 15/660,805.
USPTO; Non-Final Office Action dated Aug. 27, 2018 in U.S. Appl. No. 15/662,107.
USPTO; Notice of Allowance dated Feb. 21, 2019 in U.S. Appl. No. 15/662,107.
USPTO; Non-Final Office Action dated Dec. 4, 2018 in U.S. Appl. No. 15/672,063.
USPTO; Notice of Allowance dated Mar. 20, 2019 in U.S. Appl. No. 15/672,063.
USPTO; Non-Final Office Action dated Oct. 10, 2019 in U.S. Appl. No. 15/672,096.
USPTO; Final Office Action dated Mar. 27, 2020 in U.S. Appl. No. 15/672,096.
USPTO; Notice of Allowance dated May 20, 2020 in U.S. Appl. No. 15/672,096.
USPTO; Notice of Allowance dated Jul. 2, 2020 in U.S. Appl. No. 15/672,096.
USPTO; Non-Final Office Action dated Feb. 8, 2019 in U.S. Appl. No. 15/672,119.
USPTO; Final Office Action dated Jul. 16, 2019 in U.S. Appl. No. 15/672,119.
USPTO; Advisory Action dated Sep. 23, 2019 in U.S. Appl. No. 15/672,119.
USPTO; Notice of Allowance dated Feb. 7, 2020 in U.S. Appl. No. 15/672,119.
USPTO; Non-Final Office Action dated Jul. 27, 2018 in U.S. Appl. No. 15/673,110.
USPTO; Notice of Allowance dated Jan. 9, 2019 in U.S. Appl. No. 15/673,110.
USPTO; Non-Final Office Action dated Apr. 25, 2018 in U.S. Appl. No. 15/673,278.
USPTO; Notice of Allowance dated May 6, 2019 in U.S. Appl. No. 15/673,278.
USPTO; Non-Final Office Action dated Jan. 18, 2018 in U.S. Appl. No. 15/683,701.
USPTO; Notice of Allowance dated Jan. 9, 2019 in U.S. Appl. No. 15/683,701.
USPTO; Final Office Action dated Aug. 24, 2018 in U.S. Appl. No. 15/683,701.
USPTO; Advisory Action dated Nov. 26, 2018 in U.S. Appl. No. 15/683,701.
USPTO; Non-Final Office Action dated Dec. 18, 2018 in U.S. Appl. No. 15/690,017.
USPTO; Final Office Action dated Jul. 26, 2019 in U.S. Appl. No. 15/690,017.
USPTO; Non-Final Office Action dated Nov. 20, 2019 in U.S. Appl. No. 15/690,017.
USPTO; Final Office Action dated May 27, 2020 in U.S. Appl. No. 15/690,017.
USPTO; Advisory Action dated Aug. 6, 2020 in U.S. Appl. No. 15/690,017.
USPTO; Non-Final Office Action dated Aug. 24, 2020 in U.S. Appl. No. 15/690,017.
USPTO; Final Office Action dated Mar. 10, 2021 in U.S. Appl. No. 15/690,017.
USPTO; Non-Final Office Action dated Aug. 9, 2018 in U.S. Appl. No. 15/691,241.
USPTO; Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/691,241.
USPTO; Non-Final Office Action dated Mar. 19, 2019 in U.S. Appl. No. 15/691,241.
USPTO; Final Office Action dated Jan. 24, 2020 in U.S. Appl. No. 15/691,241.
USPTO; Advisory Action dated Jun. 19, 2020 in U.S. Appl. No. 15/691,241.
USPTO; Non-Final Office Action dated Oct. 6, 2020 in U.S. Appl. No. 15/691,241.
USPTO; Notice of Allowance dated Apr. 16, 2021 in U.S. Appl. No. 15/691,241.
USPTO; Non-Final Office Action dated Dec. 6, 2018 in U.S. Appl. No. 15/705,955.
USPTO; Notice of Allowance dated Apr. 16, 2019 in U.S. Appl. No. 15/705,955.
USPTO; Non-Final Office Action dated Feb. 11, 2019 in U.S. Appl. No. 15/707,786.
USPTO; Final Office Action dated Aug. 12, 2019 in U.S. Appl. No. 15/707,786.
USPTO; Notice of Allowance dated Nov. 7, 2019 in U.S. Appl. No. 15/707,786.
USPTO; Non-Final Office Action dated Jun. 14, 2018 in U.S. Appl. No. 15/711,989.
USPTO; Notice of Allowance dated Dec. 6, 2018 in U.S. Appl. No. 15/711,989.
USPTO; Non-Final Office Action dated May 29, 2018 in U.S. Appl. No. 15/719,208.
USPTO; Final Office Action dated Dec. 13, 2018 in U.S. Appl. No. 15/719,208.
USPTO; Non-Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 15/719,208.
USPTO; Notice of Allowance dated Jan. 29, 2020 in U.S. Appl. No. 15/719,208.
USPTO; Non-Final Office Action dated Oct. 4, 2018 in U.S. Appl. No. 15/726,222.
USPTO; Notice of Allowance dated Apr. 19, 2019 in U.S. Appl. No. 15/726,222.
USPTO; Non-Final Office Action dated Apr. 19, 2018 in U.S. Appl. No. 15/726,959.
USPTO; Final Office Action dated Nov. 14, 2018 in U.S. Appl. No. 15/726,959.
USPTO; Non-Final Office Action dated Jul. 8, 2019 in U.S. Appl. No. 15/726,959.
USPTO; Final Office Action dated Jan. 7, 2020 in U.S. Appl. No. 15/726,959.
USPTO; Advisory Action dated Mar. 16, 2020 in U.S. Appl. No. 15/726,959.
USPTO; Non-Final Office Action dated Apr. 3, 2020 in U.S. Appl. No. 15/726,959.
USPTO; Final Office Action dated Sep. 24, 2020 in U.S. Appl. No. 15/726,959.
USPTO; Non-Final Office Action dated Jan. 6, 2021 in U.S. Appl. No. 15/726,959.
USPTO; Non-Final Office Action dated Sep. 26, 2019 in U.S. Appl. No. 15/727,432.
USPTO; Notice of Allowance dated Jan. 6, 2020 in U.S. Appl. No. 15/727,432.
USPTO; Non-Final Office Action dated May 17, 2018 in U.S. Appl. No. 15/729,485.
USPTO; Notice of Allowance dated Jan. 23, 2019 in U.S. Appl. No. 15/729,485.
USPTO; Non-Final Office Action dated Nov. 28, 2018 in U.S. Appl. No. 15/795,056.
USPTO; Final Office Action dated Apr. 19, 2019 in U.S. Appl. No. 15/795,056.
USPTO; Final Office Action dated Jul. 31, 2019 in U.S. Appl. No. 15/795,056.
USPTO; Non-Final Office Action dated Nov. 20, 2019 in U.S. Appl. No. 15/795,056.
USPTO; Notice of Allowance dated Mar. 12, 2020 in U.S. Appl. No. 15/795,056.
USPTO; Non-Final Office Action dated Jun. 26, 2018 in U.S. Appl. No. 15/796,593.
USPTO; Final Office Action dated Feb. 21, 2019 in U.S. Appl. No. 15/796,593.
USPTO; Non-Final Office Action dated Jun. 14, 2019 in U.S. Appl. No. 15/796,593.
USPTO; Notice of Allowance dated Dec. 31, 2019 in U.S. Appl. No. 15/796,593.
USPTO; Non-Final Office Action dated Dec. 26, 2017 in U.S. Appl. No. 15/798,120.
USPTO; Notice of Allowance dated Jun. 13, 2018 in U.S. Appl. No. 15/798,120.
USPTO; Non-Final Office Action dated Dec. 21, 2018 in U.S. Appl. No. 15/798,150.
USPTO; Notice of Allowance dated May 14, 2019 in U.S. Appl. No. 15/798,150.
USPTO; Non-Final Office Action dated Aug. 9, 2018 in U.S. Appl. No. 15/798,201.
USPTO; Final Office Action dated Dec. 14, 2018 in U.S. Appl. No. 15/798,201.
UPSTO; Non-Final Office Action dated Oct. 2, 2019 in U.S. Appl. No. 15/798,201.
USPTO; Final Office Action dated Mar. 19, 2020 in U.S. Appl. No. 15/798,201.
USPTO; Advisory Action dated May 26, 2020 in U.S. Appl. No. 15/798,201.
USPTO; Non-Final Office Action dated Jul. 10, 2020 in U.S. Appl. No. 15/798,201.
USPTO; Notice of Allowance dated Oct. 21, 2020 in U.S. Appl. No. 15/798,201.
USPTO; Non-Final Office Action dated Nov. 15, 2019 in U.S. Appl. No. 15/802,154.
USPTO; Final Office Action dated Mar. 11, 2020 in U.S. Appl. No. 15/802,154.
USPTO; Advisory Action dated May 19, 2020 in U.S. Appl. No. 15/802,154.
USPTO; Non-Final Office Action dated Jul. 2, 2020 in U.S. Appl. No. 15/802,154.
USPTO; Notice of Allowance dated Oct. 26, 2020 in U.S. Appl. No. 15/802,154.
USPTO; Non-Final Office Action dated Jul. 2, 2018 in U.S. Appl. No. 15/815,483.
USPTO; Final Office Action dated Mar. 7, 2019 in U.S. Appl. No. 15/815,483.
USPTO; Non-Final Office Action dated Aug. 20, 2019 in U.S. Appl. No. 15/815,483.
USPTO; Final Office Action dated Jan. 13, 2020 in U.S. Appl. No. 15/815,483.
USPTO; Advisory Action dated Mar. 25, 2020 in U.S. Appl. No. 15/815,483.
USPTO; Non-Final Office Action dated Apr. 24, 2020 in U.S. Appl. No. 15/815,483.
USPTO; Notice of Allowance dated Sep. 30, 2020 in U.S. Appl. No. 15/815,483.
USPTO; Non-Final Office Action dated Sep. 26, 2018 in U.S. Appl. No. 15/832,188.
USPTO; Notice of Allowance dated Dec. 5, 2017 in U.S. Appl. No. 15/832,188.
USPTO; Non-Final Office Action dated Mar. 17, 2020 in U.S. Appl. No. 15/835,328.
USPTO; Final Office Action dated Sep. 17, 2020 in U.S. Appl. No. 15/835,328.
USPTO; Advisory Action dated Dec. 15, 2020 in U.S. Appl. No. 15/835,328.
USPTO; Non-Final Office Action dated Mar. 18, 2021 in U.S. Appl. No. 15/835,328.
USPTO; Non-Final Office Action dated Feb. 20, 2020 in U.S. Appl. No. 15/835,352.
USPTO; Final Office Action dated Aug. 6, 2020 in U.S. Appl. No. 15/835,352.
USPTO; Notice of Allowance dated Jan. 14, 2021 in U.S. Appl. No. 15/835,352.
USPTO; Non-Final Office Action dated Sep. 10, 2018 in U.S. Appl. No. 15/836,547.
USPTO; Non-Final Office Action dated Mar. 13, 2019 in U.S. Appl. No. 15/836,547.
USPTO; Notice of Allowance dated Aug. 16, 2019 in U.S. Appl. No. 15/836,547.
USPTO; Notice of Allowance dated Aug. 17, 2020 in U.S. Appl. No. 15/843,899.
USPTO; Non-Final Office Action dated Jul. 31, 2019 in U.S. Appl. No. 15/860,564.
USPTO; Final Office Action dated Nov. 13, 2019 in U.S. Appl. No. 15/860,564.
USPTO; Advisory Action dated Jan. 21, 2020 in U.S. Appl. No. 15/860,564.
USPTO; Non-Final Office Action dated Mar. 30, 2020 in U.S. Appl. No. 15/860,564.
USPTO; Notice of Allowance dated Jun. 30, 2020 in U.S. Appl. No. 15/860,564.
USPTO; Non-Final Office Action dated Mar. 20, 2020 in U.S. Appl. No. 15/861,418.
USPTO; Final Office Action dated Jun. 11, 2020 in U.S. Appl. No. 15/861,418.
USPTO; Notice of Allowance dated Aug. 19, 2020 in U.S. Appl. No. 15/861,418.
USPTO; Non-Final Office Action dated Jul. 23, 2018 in U.S. Appl. No. 15/863,340.
USPTO; Notice of Allowance dated Dec. 10, 2018 in U.S. Appl. No. 15/863,340.
USPTO; Non-Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/879,209.
USPTO; Non-Final Office Action dated Jan. 22, 2019 in U.S. Appl. No. 15/879,209.
USPTO; Final Office Action dated Aug. 21, 2019 in U.S. Appl. No. 15/879,209.
USPTO; Advisory Action dated Nov. 5, 2019 in U.S. Appl. No. 15/879,209.
USPTO; Notice of Allowance dated Jan. 16, 2020 in U.S. Appl. No. 15/879,209.
USPTO; Non-Final Office Action dated Apr. 17, 2019 in U.S. Appl. No. 15/886,225.
USPTO; Notice of Allowance dated Sep. 23, 2019 in U.S. Appl. No. 15/886,225.
USPTO; Non-Final Office Action dated Nov. 15, 2018 in U.S. Appl. No. 15/890,037.
USPTO; Final Office Action dated May 2, 2019 in U.S. Appl. No. 15/890,037.
USPTO; Non-Final Office Action dated Oct. 24, 2019 in U.S. Appl. No. 15/890,037.
USPTO; Final Office Action dated Feb. 26, 2020 in U.S. Appl. No. 15/890,037.
USPTO; Advisory Action dated Jun. 5, 2020 in U.S. Appl. No. 15/890,037.
USPTO; Non-Final Office Action dated Sep. 2, 2020 in U.S. Appl. No. 15/890,037.
USPTO; Final Office Action dated Jan. 11, 2021 in U.S. Appl. No. 15/890,037.
USPTO; Notice of Allowance dated Mar. 19, 2021 in U.S. Appl. No. 15/890,037.
USPTO; Notice of Allowance dated Feb. 8, 2019 in U.S. Appl. No. 15/892,756.
USPTO; Non-Final Office Action dated Apr. 24, 2019 in U.S. Appl. No. 15/896,986.
USPTO; Final Office Action dated Nov. 19, 2019 in U.S. Appl. No. 15/896,986.
USPTO; Advisory Action dated Feb. 7, 2020 in U.S. Appl. No. 15/896,986.
USPTO; Non-Final Office Action dated Mar. 24, 2020 in U.S. Appl. No. 15/896,986.
USPTO; Final Office Action dated Jun. 15, 2020 in U.S. Appl. No. 15/896,986.
USPTO; Notice of Allowance dated Aug. 26, 2020 in U.S. Appl. No. 15/896,986.
USPTO; Non-Final Office Action dated Sep. 19, 2019 in U.S. Appl. No. 15/897,578.
USPTO; Notice of Allowance dated Mar. 27, 2020 in U.S. Appl. No. 15/897,578.
USPTO; Non-Final Office Action dated May 30, 2019 in U.S. Appl. No. 15/900,425.
USPTO; Notice of Allowance dated Dec. 17, 2019 in U.S. Appl. No. 15/900,425.
USPTO; Non-Final Office Action dated Sep. 9, 2020 in U.S. Appl. No. 15/909,705.
USPTO; Final Office Action dated Apr. 19, 2021 in U.S. Appl. No. 15/909,705.
USPTO; Non-Final Office Action dated Mar. 8, 2019 in U.S. Appl. No. 15/917,224.
USPTO; Final Office Action dated Aug. 28, 2019 in U.S. Appl. No. 15/917,224.
USPTO; Advisory Action dated Nov. 27, 2019 in U.S. Appl. No. 15/917,224.
USPTO; Non-Final Office Action dated May 1, 2020 in U.S. Appl. No. 15/917,224.
USPTO; Final Office Action dated Sep. 24, 2020 in U.S. Appl. No. 15/917,224.
USPTO; Non-Final Office Action dated May 20, 2021 in U.S. Appl. No. 15/917,224.
USPTO; Non-Final Office Action dated Feb. 8, 2019 in U.S. Appl. No. 15/917,262.
USPTO; Final Office Action dated Jun. 14, 2019 in U.S. Appl. No. 15/917,262.
USPTO; Notice of Allowance dated Aug. 30, 2019 in U.S. Appl. No. 15/917,262.
USPTO; Non-Final Office Action dated Dec. 23, 2019 in U.S. Appl. No. 15/923,834.
USPTO; Final Office Action dated Mar. 12, 2020 in U.S. Appl. No. 15/923,834.
USPTO; Advisory Action dated May 15, 2020 in U.S. Appl. No. 15/923,834.
USPTO; Non-Final Office Action dated Jul. 6, 2020 in U.S. Appl. No. 15/923,834.
USPTO; Final Office Action dated Oct. 19, 2020 in U.S. Appl. No. 15/923,834.
USPTO; Advisory Action dated Jan. 8, 2021 in U.S. Appl. No. 15/923,834.
USPTO; Non-Final Office Action dated Feb. 18, 2021 in U.S. Appl. No. 15/923,834.
USPTO; Non-Final Office Action dated May 8, 2019 in U.S. Appl. No. 15/925,532.
USPTO; Final Office Action dated Nov. 27, 2019 in U.S. Appl. No. 15/925,532.
USPTO; Non-Final Office Action dated May 1, 2020 in U.S. Appl. No. 15/925,532.
USPTO; Final Office Action dated Oct. 15, 2020 in U.S. Appl. No. 15/925,532.
USPTO; Advisory Action dated Dec. 24, 2020 in U.S. Appl. No. 15/925,532.
USPTO; Non-Final Office Action dated Jan. 25, 2021 in U.S. Appl. No. 15/925,532.
USPTO; Non-Final Office Action dated Oct. 6, 2020 in U.S. Appl. No. 15/940,729.
USPTO; Final Office Action dated Feb. 18, 2021 in U.S. Appl. No. 15/940,729.
USPTO; Advisory Action dated Apr. 28, 2021 in U.S. Appl. No. 15/940,729.
USPTO; Non-Final Office Action dated Jul. 22, 2019 in U.S. Appl. No. 15/940,759.
USPTO; Final Office Action dated Feb. 19, 2020 in U.S. Appl. No. 15/940,759.
USPTO; Advisory Action dated Apr. 23, 2020 in U.S. Appl. No. 15/940,759.
USPTO; Non-Final Office Action dated Aug. 3, 2020 in U.S. Appl. No. 15/940,759.
USPTO; Final Office Action dated Jan. 12, 2021 in U.S. Appl. No. 15/940,759.
USPTO; Notice of Allowance dated Apr. 7, 2021 in U.S. Appl. No. 15/940,759.
USPTO; Non-Final Office Action dated Mar. 29, 2019 in U.S. Appl. No. 15/940,801.
USPTO; Notice of Allowance dated Aug. 26, 2019 in U.S. Appl. No. 15/940,801.
USPTO; Non-Final Rejection dated Nov. 29, 2019 in U.S. Appl. No. 15/949,990.
USPTO; Notice of Allowance dated Mar. 12, 2020 in U.S. Appl. No. 15/949,990.
USPTO; Notice of Allowance dated May 31, 2019 in U.S. Appl. No. 15/957,565.
USPTO; Non-Final Office Action dated Jan. 31, 2020 in U.S. Appl. No. 15/962,980.
USPTO; Final Office Action dated Apr. 30, 2020 in U.S. Appl. No. 15/962,980.
USPTO; Advisory Action dated Jul. 2, 2020 in U.S. Appl. No. 15/962,980.
USPTO; Non-Final Office Action dated Sep. 14, 2020 in U.S. Appl. No. 15/962,980.
USPTO; Final Office Action dated Dec. 15, 2020 in U.S. Appl. No. 15/962,980.
USPTO; Advisory Action dated Feb. 22, 2021 in U.S. Appl. No. 15/962,980.
USPTO; Non-Final Office Action dated Oct. 6, 2020 in U.S. Appl. No. 15/967,146.
USPTO; Final Office Action dated Apr. 13, 2021 in U.S. Appl. No. 15/967,146.
USPTO; Advisory Action dated Jun. 2, 2021 in U.S. Appl. No. 15/967,146.
USPTO; Non-Final Office Action dated Apr. 2, 2020 in U.S. Appl. No. 15/974,948.
USPTO; Final Office Action dated Sep. 3, 2020 in U.S. Appl. No. 15/974,948.
USPTO; Advisory Action dated Oct. 27, 2020 in U.S. Appl. No. 15/974,948.
USPTO; Non-Final Office Action dated Feb. 19, 2021 in U.S. Appl. No. 15/974,948.
USPTO; Non-Final Office Action dated May 15, 2020 in U.S. Appl. No. 15/974,988.
USPTO; Notice of Allowance dated Oct. 14, 2020 in U.S. Appl. No. 15/974,988.
USPTO; Non-Final Office Action dated Jan. 16, 2020 in U.S. Appl. No. 15/985,261.
USPTO; Notice of Allowance dated Apr. 3, 2020 in U.S. Appl. No. 15/985,261.
USPTO; Non-Final Office Action dated Apr. 19, 2019 in U.S. Appl. No. 15/985,298.
USPTO; Notice of Allowance dated Aug. 22, 2019 in U.S. Appl. No. 15/985,298.
USPTO; Non-Final Office Action dated Jun. 12, 2020 in U.S. Appl. No. 15/985,539.
USPTO; Final Office Action dated Nov. 25, 2020 in U.S. Appl. No. 15/985,539.
USPTO; Non-Final Office Action dated May 25, 2021 in U.S. Appl. No. 15/985,539.
USPTO; Non-Final Office Action dated Feb. 21, 2019 in U.S. Appl. No. 15/987,755.
USPTO; Notice of Allowance dated Jul. 31, 2019 in U.S. Appl. No. 15/987,755.
USPTO; Non-Final Office Action dated Feb. 20, 2020 in U.S. Appl. No. 15/996,286.
USPTO; Final Office Action dated Jul. 24, 2020 in U.S. Appl. No. 15/996,286.
USPTO; Advisory Action dated Oct. 7, 2020 in U.S. Appl. No. 15/996,286.
USPTO; Non-Final Office Action dated Apr. 5, 2021 in U.S. Appl. No. 15/996,286.
USPTO; Non-Final Office Action dated Apr. 1, 2020 in U.S. Appl. No. 15/997,445.
USPTO; Final Office Action dated Oct. 2, 2020 in U.S. Appl. No. 15/997,445.
USPTO; Notice of Allowance dated Jul. 10, 2020 in U.S. Appl. No. 15/998,775.
USPTO; Non-Final Office Action dated Mar. 3, 2020 in U.S. Appl. No. 16/000,109.
USPTO; Final Office Action dated Sep. 14, 2020 in Application No. 16/000, 109.
USPTO; Advisory Action dated Dec. 10, 2020 in U.S. Appl. No. 16/000,109.
USPTO; Non-Final Office Action dated Feb. 4, 2021 in U.S. Appl. No. 16/000,109.
USPTO; Non-Final Office Action dated Jul. 29, 2019 in U.S. Appl. No. 16/000,125.
USPTO; Final Office Action dated Jan. 13, 2020 in U.S. Appl. No. 16/000,125.
USPTO; Advisory Action dated Apr. 3, 2020 in U.S. Appl. No. 16/000,125.
USPTO; Non-Final Office Action dated Jun. 5, 2020 in U.S. Appl. No. 16/000,125.
USPTO; Final Office Action dated Oct. 6, 2020 in Application No. 16/000, 125.
USPTO; Advisory Action dated Dec. 15, 2020 in U.S. Appl. No. 16/000,125.
USPTO; Non-Final Office Action dated May 19, 2021 in U.S. Appl. No. 16/000,125.
USPTO; Non-Final Office Action dated Apr. 22, 2020 in U.S. Appl. No. 16/000,156.
USPTO; Final Office Action dated Oct. 22, 2020 in U.S. Appl. No. 16/000,156.
USPTO; Advisory Action dated Dec. 14, 2020 in U.S. Appl. No. 16/000,156.
USPTO; Notice of Allowance dated Feb. 5, 2021 in U.S. Appl. No. 16/000,156.
USPTO; Non-Final Office Action dated May 7, 2020 in U.S. Appl. No. 16/004,041.
USPTO; Final Office Action dated Oct. 15, 2020 in U.S. Appl. No. 16/004,041.
USPTO; Advisory Action dated Dec. 22, 2020 in U.S. Appl. No. 16/004,041.
USPTO; Non-Final Office Action dated Mar. 19, 2021 in U.S. Appl. No. 16/004,041.
USPTO; Non-Final Office Action dated Jul. 16, 2019 in U.S. Appl. No. 16/014,981.
USPTO; Non-Final Office Action dated Jan. 16, 2020 in U.S. Appl. No. 16/014,981.
USPTO; Notice of Allowance dated Jun. 24, 2020 in U.S. Appl. No. 16/014,981.
USPTO; Non-Final Office Action dated Jan. 24, 2019 in U.S. Appl. No. 16/018,692.
USPTO; Final Office Action dated Aug. 23, 2019 in U.S. Appl. No. 16/018,692.
USPTO; Non-Final Office Action dated Dec. 11, 2019 in U.S. Appl. No. 16/018,692.
USPTO; Notice of Allowance dated Jan. 30, 2020 in U.S. Appl. No. 16/018,692.
USPTO; Non-Final Office Action dated Aug. 7, 2019 in U.S. Appl. No. 16/024,390.
USPTO; Notice of Allowance dated Nov. 26, 2019 in U.S. Appl. No. 16/024,390.
USPTO; Notice of Allowance dated Apr. 9, 2019 in U.S. Appl. No. 16/026,711.
USPTO; Non-Final Office Action dated Mar. 4, 2020 in U.S. Appl. No. 16/036,692.
USPTO; Notice of Allowance dated May 26, 2020 in U.S. Appl. No. 16/036,692.
USPTO; Non-Final Office Action dated Apr. 25, 2019 in U.S. Appl. No. 16/038,024.
USPTO; Final Office Action dated Nov. 29, 2019 in U.S. Appl. No. 16/038,024.
USPTO; Advisory Action dated Feb. 28, 2020 in U.S. Appl. No. 16/038,024.
USPTO; Notice of Allowance dated Mar. 23, 2020 in U.S. Appl. No. 16/038,024.
USPTO; Non-Final Office Action dated Sep. 8, 2020 in U.S. Appl. No. 16/039,817.
USPTO; Non-Final Office Action dated Jul. 25, 2019 in U.S. Appl. No. 16/039,867.
USPTO; Final Office Action dated Jan. 28, 2020 in U.S. Appl. No. 16/039,867.
USPTO; Notice of Allowance dated Apr. 3, 2020 in U.S. Appl. No. 16/039,867.
USPTO; Non-Final Office Action dated Nov. 24, 2020 in U.S. Appl. No. 16/039,938.
USPTO; Final Office Action dated Mar. 23, 2021 in U.S. Appl. No. 16/039,817.
USPTO; Advisory Action dated May 28, 2021 in U.S. Appl. No. 16/039,817.
USPTO; Non-Final Office Action dated Aug. 4, 2020 in U.S. Appl. No. 16/042,791.
USPTO; Final Office Action dated Mar. 8, 2021 in U.S. Appl. No. 16/042,791.
USPTO; Advisory Action dated May 14, 2021 in U.S. Appl. No. 16/042,791.
USPTO; Notice of Allowance dated Jul. 10, 2019 in U.S. Appl. No. 16/046,218.
USPTO; Non-Final Office Action dated Apr. 30, 2020 in U.S. Appl. No. 16/055,532.
USPTO; Final Office Action dated Jul. 30, 2020 in U.S. Appl. No. 16/055,532.
USPTO; Final Office Action dated Nov. 3, 2020 in U.S. Appl. No. 16/055,532.
USPTO; Advisory Action dated Jan. 14, 2021 in U.S. Appl. No. 16/055,532.
USPTO; Notice of Allowance dated Mar. 10, 2021 in U.S. Appl. No. 16/055,532.
USPTO; Non-Final Office Action dated May 27, 2020 in U.S. Appl. No. 16/100,012.
USPTO; Notice of Allowance dated Sep. 14, 2020 in U.S. Appl. No. 16/100,012.
USPTO; Non-Final Office Action dated Feb. 20, 2020 in U.S. Appl. No. 16/105,745.
USPTO; Final Office Action dated Jun. 23, 2020 in U.S. Appl. No. 16/105,745.
USPTO; Advisory Action dated Aug. 25, 2020 in U.S. Appl. No. 16/105,745.
USPTO; Non-Final Office Action dated Nov. 25, 2020 in U.S. Appl. No. 16/105,745.
USPTO; Final Office Action dated Mar. 23, 2021 in U.S. Appl. No. 16/105,745.
USPTO; Non-Final Office Action dated Feb. 24, 2020 in U.S. Appl. No. 16/105,761.
USPTO; Final Office Action dated Apr. 21, 2020 in U.S. Appl. No. 16/105,761.
USPTO; Advisory Action dated Jul. 8, 2020 in U.S. Appl. No. 16/105,761.
USPTO; Non-Final Office Action dated Nov. 25, 2020 in U.S. Appl. No. 16/105,761.
USPTO; Final Office Action dated Mar. 24, 2021 in U.S. Appl. No. 16/105,761.
USPTO; Advisory Action dated May 20, 2021 in U.S. Appl. No. 16/105,761.
USPTO; Non-Final Office Action dated Mar. 19, 2020 in U.S. Appl. No. 16/105,802.
USPTO; Final Office Action dated Jun. 26, 2020 in U.S. Appl. No. 16/105,802.
USPTO; Final Office Action dated Mar. 18, 2021 in U.S. Appl. No. 16/105,802.
USPTO; Advisory Action dated May 27, 2021 in U.S. Appl. No. 16/105,802.
USPTO; Non-Final Office Action dated Sep. 8, 2020 in U.S. Appl. No. 16/108,950.
USPTO; Final Office Action dated Dec. 15, 2020 in U.S. Appl. No. 16/108,950.
USPTO; Advisory Action dated Feb. 17, 2021 in U.S. Appl. No. 16/108,950.
USPTO; Non-Final Office Action dated Apr. 13, 2021 in U.S. Appl. No. 16/108,950.
USPTO; Non-Final Office Action dated Mar. 16, 2020 in U.S. Appl. No. 16/116,708.
USPTO; Final Office Action dated Sep. 2, 2020 in U.S. Appl. No. 16/116,708.
USPTO; Advisory Action dated Nov. 17, 2020 in U.S. Appl. No. 16/116,708.
USPTO; Notice of Allowance dated Mar. 23, 2021 in U.S. Appl. No. 16/116,708.
USPTO; Non-Final Office Action dated Feb. 6, 2020 in U.S. Appl. No. 16/117,530.
USPTO; Final Office Action dated Jan. 6, 2021 in U.S. Appl. No. 16/117,530.
USPTO; Advisory Action dated Mar. 10, 2021 in U.S. Appl. No. 16/117,530.
USPTO; Non-Final Office Action dated Jun. 22, 2020 in U.S. Appl. No. 16/128,282.
USPTO; Final Office Action dated Nov. 19, 2020 in U.S. Appl. No. 16/128,282.
USPTO; Notice of Allowance dated Feb. 12, 2021 in U.S. Appl. No. 16/128,282.
USPTO; Non-Final Office Action dated Apr. 21, 2020 in U.S. Appl. No. 16/130,798.
USPTO; Notice of Allowance dated Aug. 5, 2020 in U.S. Appl. No. 16/130,798.
USPTO; Non-Final Office Action dated Jan. 6, 2020 in U.S. Appl. No. 16/132,142.
USPTO; Final Office Action dated Jun. 5, 2020 in U.S. Appl. No. 16/132,142.
USPTO; Advisory Action dated Aug. 24, 2020 in U.S. Appl. No. 16/132,142.
USPTO; Non-Final Office Action dated Oct. 16, 2020 in U.S. Appl. No. 16/132,142.
USPTO; Notice of Allowance dated Feb. 8, 2021 in U.S. Appl. No. 16/132,142.
USPTO; Non-Final Office Action dated Feb. 28, 2020 in U.S. Appl. No. 16/137,974.
USPTO; Final Office Action dated Aug. 20, 2020 in U.S. Appl. No. 16/137,974.
USPTO; Notice of Allowance dated Nov. 3, 2020 in U.S. Appl. No. 16/137,974.
USPTO; Non-Final Office Action dated Apr. 2, 2019 in U.S. Appl. No. 16/147,047.
USPTO; Final Office Action dated Sep. 25, 2019 in U.S. Appl. No. 16/147,047.
USPTO; Notice of Allowance dated Jan. 3, 2020 in U.S. Appl. No. 16/147,047.
USPTO; Non-Final Office Action dated Jul. 30, 2020 in U.S. Appl. No. 16/151,074.
USPTO; Final Office Action dated Dec. 14, 2020 in U.S. Appl. No. 16/151,074.
USPTO; Advisory Action dated Feb. 16, 2021 in U.S. Appl. No. 16/151,074.
USPTO; Non-Final Office Action dated Mar. 23, 2021 in U.S. Appl. No. 16/151,074.
USPTO; Non-Final Office Action dated Nov. 5, 2019 in U.S. Appl. No. 16/152,260.
USPTO; Advisory Action dated Sep. 16, 2020 in U.S. Appl. No. 16/152,260.
USPTO; Final Office Action dated Jul. 15, 2020 in U.S. Appl. No. 16/152,260.
USPTO; Non-Final Office Action dated Nov. 9, 2020 in U.S. Appl. No. 16/152,260.
USPTO; Final Office Action dated May 6, 2021 in U.S. Appl. No. 16/152,260.
USPTO; Non-Final Office Action dated Aug. 6, 2019 in U.S. Appl. No. 16/158,077.
USPTO; Final Office Action dated Mar. 2, 2020 in U.S. Appl. No. 16/158,077.
USPTO; Advisory Action dated Jun. 9, 2020 in U.S. Appl. No. 16/158,077.
USPTO; Notice of Allowance dated Jul. 24, 2020 in U.S. Appl. No. 16/158,077.
USPTO; Non-Final Office Action dated Aug. 29, 2019 in U.S. Appl. No. 16/161,744.
USPTO; Final Office Action dated Mar. 17, 2020 in U.S. Appl. No. 16/161,744.
USPTO; Notice of Allowance dated Jun. 19, 2020 in U.S. Appl. No. 16/161,744.
USPTO; Non-Final Office Action dated Jul. 23, 2020 in U.S. Appl. No. 16/167,164.
USPTO; Final Office Action dated Dec. 18, 2020 in U.S. Appl. No. 16/167,164.
USPTO; Notice of Allowance dated Feb. 24, 2021 in U.S. Appl. No. 16/167,164.
USPTO; Non-Final Office Action dated Aug. 16, 2019 in U.S. Appl. No. 16/167,225.
USPTO; Final Office Action dated Dec. 16, 2019 in U.S. Appl. No. 16/167,225.
USPTO; Notice of Allowance dated Mar. 5, 2020 in U.S. Appl. No. 16/167,225.
USPTO; Notice of Allowance dated Apr. 17, 2019 in U.S. Appl. No. 16/171,098.
USPTO; Notice of Allowance dated May 1, 2019 in U.S. Appl. No. 16/171,098.
USPTO; Non-Final Office Action dated Aug. 7, 2020 in U.S. Appl. No. 16/172,535.
USPTO; Final Office Action dated Oct. 16, 2020 in U.S. Appl. No. 16/172,535.
USPTO; Advisory Action dated Dec. 21, 2020 in U.S. Appl. No. 16/172,535.
USPTO; Non-Final Office Action dated Feb. 19, 2021 in U.S. Appl. No. 16/172,535.
USPTO; Final Office Action dated May 27, 2021 in U.S. Appl. No. 16/172,535.
USPTO; Non-Final Office Action dated Nov. 4, 2020 in U.S. Appl. No. 16/176,517.
USPTO; Final Office Action dated Jan. 29, 2021 in U.S. Appl. No. 16/176,517.
USPTO; Advisory Action dated Apr. 5, 2021 in U.S. Appl. No. 16/176,517.
USPTO; Notice of Allowance dated May 13, 2021 in U.S. Appl. No. 16/176,517.
USPTO; Non-Final Office Action dated Oct. 29, 2020 in U.S. Appl. No. 16/183,258.
USPTO; Notice of Allowance dated Feb. 19, 2021 in U.S. Appl. No. 16/183,258.
USPTO; Non-Final Office Action dated Apr. 2, 2019 in U.S. Appl. No. 16/188,690.
USPTO; Final Office Action dated Sep. 26, 2019 in U.S. Appl. No. 16/188,690.
USPTO; Notice of Allowance dated Dec. 16, 2019 in U.S. Appl. No. 16/188,690.
USPTO; Notice of Allowance dated Jul. 28, 2020 in U.S. Appl. No. 16/193,789.
USPTO; Notice of Allowance dated Jul. 7, 2020 in U.S. Appl. No. 16/194,041.
USPTO; Notice of Allowance dated Oct. 3, 2019 in U.S. Appl. No. 16/200,100.
USPTO; Non-Final Office Action dated Dec. 11, 2020 in U.S. Appl. No. 16/202,941.
USPTO; Non-Final Office Action dated May 29, 2020 in U.S. Appl. No. 16/205,899.
USPTO; Final Office Action dated Nov. 27, 2020 in U.S. Appl. No. 16/205,899.
USPTO; Notice of Allowance dated Feb. 10, 2021 in U.S. Appl. No. 16/205,899.
USPTO; Non-Final Office Action dated Mar. 29, 2021 in U.S. Appl. No. 16/206,589.
USPTO; Non-Final Office Action dated Aug. 19, 2019 in U.S. Appl. No. 16/208,062.
USPTO; Notice of Allowance dated Jan. 28, 2020 in U.S. Appl. No. 16/208,062.
USPTO; Non-Final Office Action dated Jul. 15, 2020 in U.S. Appl. No. 16/210,922.
USPTO; Final Office Action dated Dec. 9, 2020 in U.S. Appl. No. 16/210,922.
USPTO; Advisory Action dated Feb. 25, 2021 in U.S. Appl. No. 16/210,922.
USPTO; Non-Final Office Action dated Sep. 16, 2019 in U.S. Appl. No. 16/213,702.
USPTO; Notice of Allowance dated Dec. 9, 2019 in U.S. Appl. No. 16/213,702.
USPTO; Non-Final Office Action dated Jan. 8, 2020 in U.S. Appl. No. 16/219,555.
USPTO; Final Office Action dated Jun. 19, 2020 in U.S. Appl. No. 16/219,555.
USPTO; Advisory Action dated Sep. 11, 2020 in U.S. Appl. No. 16/219,555.
USPTO; Non-Final Office Action dated Sep. 30, 2020 in U.S. Appl. No. 16/219,555.
USPTO; Final Office Action dated Mar. 29, 2021 in U.S. Appl. No. 16/219,555.
USPTO; Non-Final Office Action dated May 4, 2021 in U.S. Appl. No. 16/240,392.
USPTO; Non-Final Office Action dated Feb. 19, 2020 in U.S. Appl. No. 16/242,829.
USPTO; Final Office Action dated Jun. 15, 2020 in U.S. Appl. No. 16/242,829.
USPTO; Advisory Action dated Aug. 11, 2020 in U.S. Appl. No. 16/242,829.
USPTO; Notice of Allowance dated Sep. 23, 2020 in U.S. Appl. No. 16/242,829.
USPTO; Non-Final Office Action dated Sep. 3, 2020 in U.S. Appl. No. 16/242,852.
USPTO; Notice of Allowance dated Dec. 15, 2020 in U.S. Appl. No. 16/242,852.
USPTO; Non-Final Office Action dated Jan. 30, 2020 in U.S. Appl. No. 16/245,006.
USPTO; Notice of Allowance dated May 29, 2020 in U.S. Appl. No. 16/245,006.
USPTO; Non-Final Office Action dated Sep. 24, 2019 in U.S. Appl. No. 16/251,534.
USPTO; Final Office Action dated Jan. 10, 2020 in U.S. Appl. No. 16/251,534.
USPTO; Advisory Action dated Apr. 3, 2020 in U.S. Appl. No. 16/251,534.
USPTO; Non-Final Office Action dated Apr. 24, 2020 in U.S. Appl. No. 16/251,534.
USPTO; Final Office Action dated Oct. 14, 2020 in U.S. Appl. No. 16/251,534.
USPTO; Advisory Action dated Jan. 26, 2021 in U.S. Appl. No. 16/251,534.
USPTO; Non-Final Office Action dated Feb. 23, 2021 in U.S. Appl. No. 16/251,534.
USPTO; Non-Final Office Action dated May 27, 2020 in U.S. Appl. No. 16/252,567.
USPTO; Final Office Action dated Nov. 18, 2020 in U.S. Appl. No. 16/252,567.
USPTO; Advisory Action dated Jan. 22, 2021 in U.S. Appl. No. 16/252,567.
USPTO; Non-Final Office Action dated Mar. 30, 2021 in U.S. Appl. No. 16/252,567.
USPTO; Non-Final Office Action dated Mar. 4, 2021 in U.S. Appl. No. 16/252,569.
USPTO; Non-Final Office Action dated Apr. 16, 2020 in U.S. Appl. No. 16/255,639.
USPTO; Notice of Allowance dated Aug. 6, 2020 in U.S. Appl. No. 16/255,639.
USPTO; Non-Final Office Action dated Jun. 30, 2020 in U.S. Appl. No. 16/258,187.
USPTO; Notice of Allowance dated Aug. 5, 2020 in U.S. Appl. No. 16/258,187.
USPTO; Non-Final Office Action dated Dec. 18, 2019 in U.S. Appl. No. 16/280,964.
USPTO; Notice of Allowance dated May 21, 2020 in U.S. Appl. No. 16/280,964.
USPTO; Non-Final Office Action dated Jan. 9, 2020 in U.S. Appl. No. 16/317,774.
USPTO; Notice of Allowance dated Apr. 15, 2020 in U.S. Appl. No. 16/317,774.
USPTO; Non-Final Office Action dated Mar. 2, 2020 in U.S. Appl. No. 16/356,394.
USPTO; Final Office Action dated Jun. 30, 2020 in U.S. Appl. No. 16/356,394.
USPTO; Notice of Allowance dated Aug. 31, 2020 in U.S. Appl. No. 16/356,394.
USPTO; Notice of Allowance dated Jun. 13, 2019 in U.S. Appl. No. 16/396,475.
USPTO; Non-Final Office Action dated Aug. 5, 2020 in U.S. Appl. No. 16/397,045.
USPTO; Final Office Action dated Dec. 8, 2020 in U.S. Appl. No. 16/397,045.
USPTO; Advisory Action dated Jan. 27, 2021 in U.S. Appl. No. 16/397,045.
USPTO; Non-Final Office Action dated Apr. 20, 2021 in U.S. Appl. No. 16/397,045.
USPTO; Non-Final Office Action dated Aug. 19, 2020 in U.S. Appl. No. 16/400,814.
USPTO; Final Office Action dated Dec. 14, 2020 in U.S. Appl. No. 16/400,814.
USPTO; Notice of Allowance dated Mar. 10, 2021 in U.S. Appl. No. 16/400,814.
USPTO; Non-Final Office Action dated Dec. 26, 2019 in U.S. Appl. No. 16/417,938.
USPTO; Notice of Allowance dated Mar. 30, 2020 in U.S. Appl. No. 16/417,938.
USPTO; Non-Final Office Action dated May 7, 2021 in U.S. Appl. No. 16/423,824.
USPTO; Non-Final Office Action dated Dec. 31, 2019 in U.S. Appl. No. 16/427,288.
USPTO; Notice of Allowance dated Apr. 16, 2020 in U.S. Appl. No. 16/427,288.
USPTO; Non-Final Office Action dated Jan. 12, 2021 in U.S. Appl. No. 16/453,249.
USPTO; Notice of Allowance dated Apr. 28, 2021 in U.S. Appl. No. 16/453,249.
USPTO; Non-Final Office Action dated May 12, 2020 in U.S. Appl. No. 16/454,063.
USPTO; Notice of Allowance dated Sep. 18, 2020 in U.S. Appl. No. 16/454,063.
USPTO; Non-Final Office Action dated Dec. 31, 2019 in U.S. Appl. No. 16/455,406.
USPTO; Notice of Allowance dated Apr. 17, 2020 in U.S. Appl. No. 16/455,406.
USPTO; Non-Final Office Action dated Nov. 27, 2020 in U.S. Appl. No. 16/468,258.
USPTO; Final Office Action dated May 20, 2021 in U.S. Appl. No. 16/468,258.
USPTO; Non-Final Office Action dated Dec. 28, 2020 in U.S. Appl. No. 16/517,122.
USPTO; Notice of Allowance dated Apr. 26, 2021 in U.S. Appl. No. 16/517,122.
USPTO; Non-Final Office Action dated Nov. 5, 2020 in U.S. Appl. No. 16/546,543.
USPTO; Final Office Action dated May 12, 2021 in U.S. Appl. No. 16/546,543.
USPTO; Non-Final Office Action dated Feb. 1, 2021 in U.S. Appl. No. 16/563,473.
USPTO; Non-Final Office Action dated Feb. 11, 2021 in U.S. Appl. No. 16/565,460.
USPTO; Non-Final Office Action dated Jun. 11, 2020 in U.S. Appl. No. 16/598,768.
USPTO; Notice of Allowance dated Sep. 16, 2020 in U.S. Appl. No. 16/598,768.
USPTO; Non-Final Office Action dated May 10, 2021 in U.S. Appl. No. 16/601,593.
USPTO; Non-Final Office Action dated Feb. 24, 2021 in U.S. Appl. No. 16/637,134.
USPTO; Notice of Allowance dated Jun. 2, 2021 in U.S. Appl. No. 16/637,134.
USPTO; Final Office Action dated Jan. 10, 2020 in U.S. Appl. No. 16/674,894.
USPTO; Notice of Allowance dated Apr. 21, 2020 in U.S. Appl. No. 16/674,894.
USPTO; Non-Final Office Action dated Oct. 16, 2020 in U.S. Appl. No. 16/679,885.
USPTO; Notice of Allowance dated Jan. 25, 2021 in U.S. Appl. No. 16/679,885.
USPTO; Non-Final Office Action dated Feb. 8, 2021 in U.S. Appl. No. 16/685,787.
USPTO; Notice of Allowance dated Apr. 30, 2021 in U.S. Appl. No. 16/685,787.
USPTO; Non-Final Office Action dated Apr. 5, 2021 in U.S. Appl. No. 16/704,835.
USPTO; Non-Final Office Action dated Nov. 16, 2020 in U.S. Appl. No. 16/713,311.
USPTO; Final Office Action dated Feb. 22, 2021 in U.S. Appl. No. 16/713,311.
USPTO; Non-Final Office Action dated Jan. 8, 2021 in U.S. Appl. No. 16/752,514.
USPTO; Notice of Allowance dated May 20, 2021 in U.S. Appl. No. 16/752,514.
USPTO; Notice of Allowance dated May 24, 2021 in U.S. Appl. No. 16/765,125.
USPTO; Non-Final Office Action dated Apr. 15, 2021 in U.S. Appl. No. 16/789,138.
USPTO; Notice of Allowance dated May 12, 2021 in U.S. Appl. No. 16/800,114.
USPTO; Non-Final Office Action dated Apr. 5, 2021 in U.S. Appl. No. 16/816,078.
USPTO; Non-Final Office Action dated May 19, 2021 in U.S. Appl. No. 16/828,753.
USPTO; Non-Final Office Action dated Apr. 13, 2020 in U.S. Appl. No. 16/833,279.
USPTO; Final Office Action dated Oct. 2, 2020 in U.S. Appl. No. 16/833,279.
USPTO; Notice of Allowance dated Dec. 28, 2020 in U.S. Appl. No. 16/833,279.
USPTO; Non-Final Office Action dated May 4, 2021 in U.S. Appl. No. 16/872,045.
USPTO; Non-Final Office Action dated May 3, 2021 in U.S. Appl. No. 16/878,443.
USPTO; Non-Final Office Action dated Jul. 9, 2020 in U.S. Appl. No. 16/897,158.
USPTO; Notice of Allowance dated Nov. 12, 2020 in U.S. Appl. No. 16/897,158.
USPTO; Non-Final Office Action dated Dec. 31, 2020 in U.S. Appl. No. 16/924,595.
USPTO; Non-Final Office Action dated Aug. 4, 2020 in U.S. Appl. No. 16/926,192.
USPTO; Non-Final Office Action dated May 25, 2021 in U.S. Appl. No. 16/935,280.
USPTO; Non-Final Office Action dated Apr. 14, 2021 in U.S. Appl. No. 17/009,093.
USPTO; Notice of Allowance dated May 14, 2012 in U.S. Appl. No. 29/411,637.
USPTO; Notice of Allowance dated Oct. 2, 2013 in U.S. Appl. No. 29/412,887.
USPTO; Non-Final Office Action dated Mar. 16, 2015 in U.S. Appl. No. 29/447,298.
USPTO; Notice of Allowance dated Jul. 6, 2015 in U.S. Appl. No. 29/447,298.
USPTO; Notice of Allowance dated Dec. 19, 2013 in U.S. Appl. No. 29/448,094.
USPTO; Notice of Allowance dated Nov. 26, 2014 in U.S. Appl. No. 29/481,301.
USPTO; Notice of Allowance dated Feb. 17, 2015 in U.S. Appl. No. 29/481,308.
USPTO; Notice of Allowance dated Jan. 12, 2015 in U.S. Appl. No. 29/481,312.
USPTO; Notice of Allowance dated Apr. 30, 2015 in U.S. Appl. No. 29/481,315.
USPTO; Notice of Allowance dated May 11, 2015 in U.S. Appl. No. 29/511,011.
USPTO; Notice of Allowance dated May 11, 2015 in U.S. Appl. No. 29/514,153.
USPTO; Notice of Allowance dated Dec. 14, 2015 in U.S. Appl. No. 29/514,264.
USPTO; Notice of Allowance dated Jun. 16, 2017 in U.S. Appl. No. 29/570,711.
USPTO; Non-Final Office Action dated Apr. 16, 2019 in U.S. Appl. No. 29/604,101.
USPTO; Notice of Allowance dated Oct. 30, 2019 in U.S. Appl. No. 29/604,101.
USPTO; Notice of Allowance dated Jun. 26, 2018 in U.S. Appl. No. 29/604,288.
USPTO; Ex Parte Quayle Action dated Dec. 17, 2019 in U.S. Appl. No. 29/615,000.
USPTO; Notice of Allowance dated Jun. 10, 2020 in U.S. Appl. No. 29/615,000.
USPTO; Non-Final Office Action dated Aug. 21, 2019 in U.S. Appl. No. 29/634,768.
USPTO; Notice of Allowance dated Jan. 23, 2020 in U.S. Appl. No. 29/634,768.
USPTO; Non-Final Office Action dated Feb. 20, 2019 in U.S. Appl. No. 29/646,377.
USPTO; Final Office Action dated Jul. 15, 2019 in U.S. Appl. No. 29/646,377.
USPTO; Notice of Allowance dated Nov. 14, 2019 in U.S. Appl. No. 29/646,377.
USPTO; Ex Parte Quayle Action dated Apr. 13, 2021 in U.S. Appl. No. 29/679,620.
USPTO; Notice of Allowance dated May 19, 2021 in U.S. Appl. No. 29/702,881.
USPTO; Non-Final Office Action dated Apr. 24, 2020 in U.S. Appl. No. 29/726,623.
USPTO; Final Office Action dated Sep. 9, 2020 in U.S. Appl. No. 29/726,623.
USPTO; Notice of Allowance dated Nov. 23, 2020 in U.S. Appl. No. 29/726,623.
WIPO; International Search Report dated Oct. 13, 1998 in Application No. PCT/FI1998/000571.
WIPO; International Search Report and Written Opinion dated Nov. 16, 2017 in Application No. PCT/IB2017/001015.
WIPO; International Search Report and Written Opinion dated Nov. 13, 2017 in Application No. PCT/IB2017/001050.
WIPO; International Search Report and Written Opinion dated Nov. 30, 2017 in Application No. PCT/IB2017/001070.
WIPO; International Search Report and Written Opinion dated Jan. 25, 2018 in Application No. PCT/IB2017/001262.
WIPO; International Search Report and Written Opinion dated Sep. 14, 2018 in Application No. PCT/IB2017/001640.
WIPO: International Search Report and Written Opinion dated Jun. 1, 2018 in Application No. PCT/IB2017/001644.
WIPO; International Search Report and Written Opinion dated Nov. 6, 2018 in Application No. PCT/IB2017/001652.
WIPO: International Search Report and Written Opinion dated Jun. 1, 2018 in Application No. PCT/IB2017/001656.
WIPO; International Search Report and Written Opinion dated Jan. 25, 2019 in Application No. PCT/IB2018/000192.
WIPO; International Search Report and Written Opinion dated Jul. 9, 2018 in Application No. PCT/IB2018/000419.
WIPO; International Search Report and Written Opinion dated Jan. 4, 2019 in Application No. PCT/IB2018/000936.
WIPO; International Search Report and Written Opinion dated Dec. 20, 2018 in Application No. PCT/IB2018/001003.
WIPO; International Search Report and Written Opinion dated Dec. 20, 2018 in Application No. PCT/IB2018/001022.
WIPO; International Search Report and Written Opinion dated Jun. 28, 2019 in Application No. PCT/IB2019/000084.
WIPO; International Search Report and Written Opinion dated Nov. 19, 2019 in Application No. PCT/IB2019/000127.
WIPO; International Search Report and Written Opinion dated Jan. 10, 2020 in Application No. PCT/IB2019/000729.
WIPO; International Search Report and Written Opinion dated Dec. 20, 2019 in Application No. PCT/IB2019/000805.
WIPO; International Search Report and Written Opinion dated Dec. 20, 2019 in Application No. PCT/IB2019/000817.
WIPO; International Search Report and Written Opinion dated May 23, 2019 in Application No. PCT/IB2019/050974.
WIPO; International Search Report dated Jul. 13, 1990 in Application No. PCT/NL1990/000027.
WIPO; International Search Report dated Jan. 11, 1990 in Application No. PCT/NL1990/000042.
WIPO; International Search Report dated Feb. 26, 1991 in Application No. PCT/NL1990/000166.
WIPO; International Search Report dated Oct. 25, 1991 in Application No. PCT/NL1991/000108.
WIPO; International Search Report dated Oct. 17, 1997 in Application No. PCT/NL1997/000398.
WIPO; International Preliminary Examination Report dated Oct. 2, 1998 in Application No. PCT/NL1997/000398.
WIPO; International Search Report dated Apr. 24, 1998 in Application No. PCT/NL1998/000055.
WIPO; International Preliminary Examination Report dated Feb. 24, 1999 in Application No. PCT/NL1998/000055.
WIPO; International Search Report dated May 27, 1998 in Application No. PCT/NL1998/000105.
WIPO; International Search Report dated May 8, 1998 in Application No. PCT/NL1998/000151.
WIPO; International Preliminary Examination Report dated Mar. 23, 1999 in Application No. PCT/NL1998/000151.
WIPO; International Search Report dated May 27, 1998 in Application No. PCT/NL1998/000167.
WIPO; International Preliminary Examination Report dated Jul. 13, 1999 in Application No. PCT/NL1998/000167.
WIPO; International Search Report dated Jul. 8, 1998 in Application No. PCT/NL1998/000204.
WIPO; International Search Report dated Aug. 21, 1998 in Application No. PCT/NL1998/000246.
WIPO; International Search Report dated Oct. 16, 1998 in Application No. PCT/NL1998/000383.
WIPO; International Preliminary Examination Report dated Sep. 16, 1999 in Application No. PCT/NL1998/000383.
WIPO; International Search Report dated Apr. 15, 1999 in Application No. PCT/NL1999/000047.
WIPO; International Search Report dated Oct. 12, 1999 in Application No. PCT/NL1999/000487.
WIPO; International Search Report dated Dec. 16, 1999 in Application No. PCT/NL1999/000583.
WIPO; Written Opinion dated Jul. 24, 2000 in Application No. PCT/NL1999/000583.
WIPO; International Preliminary Examination Report dated Jan. 22, 2001 in Application No. PCT/NL1999/000583.
WIPO; International Search Report dated Apr. 19, 2000 in Application No. PCT/NL2000/000020.
WIPO; Written Opinion dated Oct. 17, 2000 in Application No. PCT/NL2000/000020.
WIPO; International Search Report and Written Opinion dated Apr. 17, 2019 in Application No. PCT/NL2018/050787.
WIPO; International Search Report and Written Opinion dated Apr. 29, 2019 in Application No. PCT/NL2018/050791.
WIPO; International Search Report dated Dec. 16, 1999 in Application No. PCT/RU1999/000328.
WIPO; International Preliminary Examination Report dated Jun. 29, 2001 in Application No. PCT/RU1999/000328.
WIPO; International Preliminary Report on Patentability dated Nov. 24, 2009 and International Search Report dated Jul. 31, 2008 in Application No. PCT/US2008/063919.
WIPO; International Preliminary Report on Patentability dated Feb. 24, 2010 in Application No. PCT/US2008/074063.
WIPO; International Preliminary Report on Patentability dated Nov. 26, 2009 in Application No. PCT/US2009/043454.
WIPO; International Preliminary Report on Patentability dated Jun. 14, 2011 in Application No. PCT/US2009/066377.
WIPO; International Search report and Written Opinion dated Nov. 12, 2010 in Application No. PCT/US2010/030126.
WIPO; International Preliminary Report on Patentability dated Oct. 11, 2011 Application No. PCT/US2010/030126.
WIPO; International Preliminary Report on Patentability dated Nov. 9, 2011 in Application No. PCT/US2010/033244.
WIPO; International Preliminary Report on Patentability dated Nov. 9, 2011 in Application No. PCT/US2010/033248.
WIPO; International Preliminary Report on Patentability dated Nov. 9, 2011 in Application No. PCT/US2010/033252.
WIPO; International Search report and Written Opinion dated Jan. 20, 2011 in Application No. PCT/US2010/045368.
WIPO; International Search report and Written Opinion dated Feb. 6, 2013 in Application No. PCT/US2012/065343.
WIPO; International Search report and Written Opinion dated Feb. 13, 2013 in Application No. PCT/US2012/065347.
CNIPA; Office Action dated Jul. 21, 2021 in Application No. 201610131743.1.
CNIPA; Office Action dated Jul. 23, 2021 in Application No. 201710131319.1.
CNIPA; Notice of Allowance dated Jun. 3, 2021 in Application No. 201711057929.8.
CNIPA; Office Action dated Sep. 13, 2021 in Application No. 201780076230.8.
CNIPA; Office Action dated Sep. 13, 2021 in Application No. 201780076321.1.
CNIPA; Office Action dated Apr. 30, 2021 in Application No. 201810018936.5.
CNIPA; Office Action dated Apr. 16, 2021 in Application No. 201810116717.0.
CNIPA; Notice of Allowance dated Sep. 15, 2021 in Application No. 201810116717.0.
CNIPA; Office Action dated May 26, 2021 in Application No. 201810215131.X.
CNIPA; Office Action dated Jun. 16, 2021 in Application No. 201810344382.8.
CNIPA; Notice of Allowance dated Oct. 11, 2021 in Application No. 201810344382.8.
CNIPA; Office Action dated Jul. 5, 2021 in Application No. 201810530514.6.
CNIPA; Office Action dated Jun. 30, 2021 in Application No. 201810755771.X.
CNIPA; Office Action dated Sep. 23, 2021 in Application No. 201880048547.5.
CNIPA; Office Action dated May 19, 2021 in Application No. 201880072606.2.
CNIPA; Office Action dated May 31, 2021 in Application No. 201910857144.1.
CNIPA; Office Action dated Jun. 2, 2021 in Application No. 201910920881.1.
CNIPA; Office Action dated Jul. 5, 2021 in Application No. 201911042495.3.
CNIPA; Office Action dated Apr. 27, 2021 in Application No. 201911250100.9.
CNIPA; Office Action dated Jul. 7, 2021 in Application No. 201980011788.7.
CNIPA; Notice of Allowance dated Jun. 16, 2021 in Application No. 202130111248.6.
EPO; Extended European Search Report dated Aug. 11, 2021 in Application No. 21169226.4.
JPO; Notice of Allowance dated Aug. 11, 2021 in Application No. 2018-024655.
JPO; Office Action dated Aug. 2, 2021 in Application No. 2019-504674.
JPO; Office Action dated Sep. 10, 2021 in Application No. 2019-531445.
JPO; Notice of Allowance dated Jun. 30, 2021 in Application No. 2021-004208.
KIPO; Office Action dated Jun. 28, 2021 in Application No. 10-2014-0027217.
KIPO; Notice of Allowance dated May 27, 2021 in Application No. 10-2014-0105478.
KIPO; Notice of Allowance dated Aug. 17, 2021 in Application No. 10-2014-0122903.
KIPO; Notice of Allowance dated Sep. 8, 2021 in Application No. 10-2014-0136089.
KIPO; Notice of Allowance dated Jul. 6, 2021 in Application No. 10-2014-0145220.
KIPO; Notice of Allowance dated Sep. 23, 2021 in Application No. 2014-0156196.
KIPO; Notice of Allowance dated Jul. 21, 2021 in Application No. 10-2015-0025314.
KIPO; Office Action dated May 19, 2021 in Application No. 10-2015-0036819.
KIPO; Office Action dated Jun. 23, 2021 in Application No. 10-2015-0037658.
KIPO; Office Action dated Sep. 6, 2021 in Application No. 10-2015-0046393.
KIPO; Office Action dated Jun. 10, 2021 in Application No. 2015-61391.
KIPO; Office Action dated Sep. 3, 2021 in Application No. 2017-37847.
KIPO; Office Action dated Aug. 2, 2021 in Application No. 2017-43865.
KIPO; Office Action dated Oct. 1, 2021 in Application No. 2017-43919.
KIPO; Office Action dated Sep. 28, 2021 in Application No. 2017-49172.
KIPO; Notice of Allowance dated Sep. 28, 2021 in Application No. 2017-54647.
KIPO; Office Action dated in Aug. 30, 2021 in Application No. 2017-81515.
KIPO; Office Action dated Sep. 10, 2021 in Application No. 2017-86083.
KIPO; Office Action dated Jun. 29, 2021 in Application No. 10-2017-0066979.
KIPO; Notice of Allowance dated Jun. 24, 2021 in Application No. 10-2019-0044213.
KIPO; Office Action dated Jun. 17, 2021 in Application No. 10-2021-0051860.
KIPO; Office Action dated Aug. 18, 2021 in Application No. 10-2021-0090283.
KIPO; Notice of Allowance dated Sep. 2, 2021 in Application No. 30-2020-0047043.
TIPO; Office Action dated Jun. 22, 2021 in Application No. 104108277.
TIPO; Notice of Allowance dated Sep. 22, 2021 in Application No. 104108277.
TIPO; Office Action dated Jun. 2, 2021 in Application No. 105129977.
TIPO; Notice of Allowance dated Sep. 9, 2021 in Application No. 105129977.
TIPO; Notice of Allowance dated Jun. 4, 2021 in Application No. 105131896.
TIPO; Notice of Allowance dated Jul. 30, 2021 in Application No. 105134275.
TIPO; Notice of Allowance dated Feb. 24, 2021 in Application No. 106113604.
TIPO; Notice of Allowance dated Sep. 3, 2021 in Application No. 106115126.
TIPO; Office Action dated Jun. 21, 2021 in Application No. 106120902.
TIPO; Notice of Allowance dated Jun. 30, 2021 in Application No. 106121797.
TIPO; Notice of Allowance dated Sep. 1, 2021 in Application No. 106122231.
TIPO; Notice of Allowance dated Jun. 3, 2021 in Application No. 106123203.
TIPO; Notice of Allowance dated Aug. 24, 2021 in Application No. 106124129.
TIPO; Notice of Allowance dated Jul. 28, 2021 in Application No. 106129491.
TIPO; Notice of Allowance dated Aug. 10, 2021 in Application No. 106129971.
TIPO; Office Action dated Jun. 15, 2021 in Application No. 106133152.
TIPO; Notice of Allowance dated Oct. 12, 2021 in Application No. 106135925.
TIPO; Office Action dated Jul. 13, 2021 in Application No. 106138996.
TIPO; Notice of Allowance dated Sep. 24, 2021 in Application No. 106143559.
TIPO; Office Action dated Aug. 5, 2021 in Application No. 106143566.
TIPO; Office Action dated May 26, 2021 in Application No. 106143568.
TIPO; Notice of Allowance dated Jul. 30, 2021 in Application No. 106143570.
TIPO; Office Action dated Jun. 7, 2021 in Application No. 107103230.
TIPO; Office Action dated Aug. 10, 2021 in Application No. 107103230.
TIPO; Office Action dated Sep. 8, 2021 in Application No. 107105788.
TIPO; Office Action dated Aug. 3, 2021 in Application No. 107105982.
TIPO; Office Action dated Aug. 16, 2021 in Application No. 107114888.
TIPO; Office Action dated Sep. 28, 2021 in Application No. 109112983.
TIPO; Office Action dated Sep. 13, 2021 in Application No. 109119438.
TIPO; Office Action dated Oct. 18, 2021 in Application No. 109300594.
TIPO; Notice of Allowance dated Jun. 9, 2021 in Application No. 109303437.
TIPO; Notice of Allowance dated Oct. 1, 2021 in Application No. 110118827.
TIPO; Notice of Allowance dated Jun. 30, 2021 in Application No. 110301018.
TIPO; Notice of Allowance dated Jul. 29, 2021 in Application No. 110301101.
TIPO; Notice of Allowance dated Jul. 27, 2021 in Application No. 110302670.
USPTO; Final Office Action dated Aug. 4, 2021 in U.S. Appl. No. 14/219,879.
USPTO; Non-Final Office Action dated Jun. 10, 2021 in U.S. Appl. No. 15/262,990.
USPTO; Final Office Action dated Oct. 1, 2021 in U.S. Appl. No. 15/262,990.
USPTO; Non-Final Office Action dated Jul. 9, 2021 in U.S. Appl. No. 15/286,503.
USPTO; Notice of Allowance dated Jun. 29, 2021 in U.S. Appl. No. 15/377,439.
USPTO; Non-Final Office Action dated Jun. 25, 2021 in U.S. Appl. No. 15/380,909.
USPTO; Final Office Action dated Jun. 21, 2021 in U.S. Appl. No. 15/380,921.
USPTO; Advisory Action dated Aug. 30, 2021 in U.S. Appl. No. 15/380,921.
USPTO; Non-Final Office Action dated Oct. 6, 2021 in U.S. Appl. No. 15/380,921.
USPTO; Advisory Action dated Jul. 27, 2021 in U.S. Appl. No. 15/402,993.
USPTO; Final Office Action dated Jun. 9, 2021 in U.S. Appl. No. 15/491,726.
USPTO; Advisory Action dated Aug. 24, 2021 in U.S. Appl. No. 15/491,726.
USPTO; Non-Final Office Action dated Sep. 28, 2021 in U.S. Appl. No. 15/491,726.
USPTO; Notice of Allowance dated Aug. 25, 2021 in U.S. Appl. No. 15/611,707.
USPTO; Non-Final Office Action dated Sep. 16, 2021 in U.S. Appl. No. 15/636,307.
USPTO; Advisory Action dated Jun. 11, 2021 in U.S. Appl. No. 15/690,017.
USPTO; Non-Final Office Action dated Jun. 17, 2021 in U.S. Appl. No. 15/690,017.
USPTO; Final Office Action dated Jul. 15, 2021 in U.S. Appl. No. 15/726,959.
USPTO; Advisory Action dated Sep. 21, 2021 in U.S. Appl. No. 15/726,959.
USPTO; Notice of Allowance dated Sep. 15, 2021 in U.S. Appl. No. 15/835,328.
USPTO; Advisory Action dated Jun. 11, 2021 in U.S. Appl. No. 15/909,705.
USPTO; Notice of Allowance dated Jun. 4, 2021 in U.S. Appl. No. 15/923,834.
USPTO; Final Office Action dated Jun. 28, 2021 in U.S. Appl. No. 15/925,532.
USPTO; Advisory Action dated Aug. 27, 2021 in U.S. Appl. No. 15/925,532.
USPTO; Non-Final Office Action dated Oct. 6, 2021 in U.S. Appl. No. 15/925,532.
USPTO; Non-Final Office Action dated Jun. 16, 2021 in U.S. Appl. No. 15/940,729.
USPTO; Notice of Allowance dated Sep. 28, 2021 in U.S. Appl. No. 15/940,729.
USPTO; Non-Final Office Action dated Aug. 2, 2021 in U.S. Appl. No. 15/962,980.
USPTO; Non-Final Office Action dated Oct. 4, 2021 in U.S. Appl. No. 15/967,146.
USPTO; Final Office Action dated Aug. 3, 2021 in U.S. Appl. No. 15/974,948.
USPTO; Advisory Action dated Oct. 21, 2021 in U.S. Appl. No. 15/974,948.
USPTO; Final Office Action dated Oct. 12, 2021 in U.S. Appl. No. 15/996,286.
USPTO; Non-Final Office Action dated Jul. 9, 2021 in U.S. Appl. No. 15/997,445.
USPTO; Final Office Action dated Aug. 5, 2021 in U.S. Appl. No. 16/000,109.
USPTO; Final Office Action dated Oct. 20, 2021 in U.S. Appl. No. 16/000,125.
USPTO; Final Office Action dated Sep. 3, 2021 in U.S. Appl. No. 16/004,041.
USPTO; Non-Final Office Action dated Oct. 22, 2021 in U.S. Appl. No. 16/042,791.
USPTO; Non-Final Office Action dated Sep. 28, 2021 in U.S. Appl. No. 16/105,745.
USPTO; Non-Final Office Action dated Sep. 29, 2021 in U.S. Appl. No. 16/105,761.
USPTO; Non-Final Office Action dated Jun. 25, 2021 in U.S. Appl. No. 16/105,802.
USPTO; Final Office Action dated Jul. 27, 2021 in U.S. Appl. No. 16/108,950.
USPTO; Advisory Action dated Oct. 1, 2021 in U.S. Appl. No. 16/108,950.
USPTO; Non-Final Office Action dated Jun. 18, 2021 in U.S. Appl. No. 16/117,530.
USPTO; Final Office Action dated Jul. 8, 2021 in U.S. Appl. No. 16/151,074.
USPTO; Notice of Allowance dated Sep. 17, 2021 in U.S. Appl. No. 16/151,074.
USPTO; Non-Final Office Action dated Sep. 16, 2021 in U.S. Appl. No. 16/152,260.
USPTO; Advisory Action dated Aug. 2, 2021 in U.S. Appl. No. 16/172,535.
USPTO; Non-Final Office Action dated Oct. 15, 2021 in U.S. Appl. No. 16/172,535.
USPTO; Final Office Action dated Jun. 14, 2021 in U.S. Appl. No. 16/202,941.
USPTO; Advisory Action dated Aug. 16, 2021 in U.S. Appl. No. 16/202,941.
USPTO; Non-Final Office Action dated Sep. 20, 2021 in U.S. Appl. No. 16/202,941.
USPTO; Final Office Action dated Jul. 26, 2021 in U.S. Appl. No. 16/206,589.
USPTO; Notice of Allowance dated Sep. 13, 2021 in U.S. Appl. No. 16/206,589.
USPTO; Non-Final Office Action dated Aug. 25, 2021 in U.S. Appl. No. 16/210,922.
USPTO; Final Office Action dated Sep. 1, 2021 in U.S. Appl. No. 16/240,392.
USPTO; Notice of Allowance dated Oct. 15, 2021 in U.S. Appl. No. 16/240,392.
USPTO; Final Office Action dated Sep. 7, 2021 in U.S. Appl. No. 16/251,534.
USPTO; Final Office Action dated Aug. 18, 2021 in U.S. Appl. No. 16/252,567.
USPTO; Final Office Action dated Jul. 21, 2021 in U.S. Appl. No. 16/252,569.
USPTO; Advisory Action dated Jul. 15, 2021 in U.S. Appl. No. 16/468,258.
USPTO; Non-Final Office Action dated Oct. 21, 2021 in U.S. Appl. No. 16/468,258.
USPTO; Advisory Action dated Jul. 8, 2021 in U.S. Appl. No. 16/546,543.
USPTO; Ex Parte Quayle Action dated Aug. 6, 2021 in U.S. Appl. No. 16/563,473.
USPTO; Notice of Allowance dated Sep. 30, 2021 in U.S. Appl. No. 16/563,473.
USPTO; Non-Final Office Action dated Oct. 5, 2021 in U.S. Appl. No. 16/588,807.
USPTO; Notice of Allowance dated Oct. 18, 2021 in U.S. Appl. No. 16/601,593.
USPTO; Non-Final Office Action dated Jun. 11, 2021 in U.S. Appl. No. 16/671,847.
USPTO; Non-Final Office Action dated Jun. 24, 2021 in U.S. Appl. No. 16/673,860.
USPTO; Non-Final Office Action dated Sep. 28, 2021 in U.S. Appl. No. 16/692,859.
USPTO; Notice of Allowance dated Jul. 20, 2021 in U.S. Appl. No. 16/704,835.
USPTO; Non-Final Office Action dated Aug. 31, 2021 in U.S. Appl. No. 16/712,707.
USPTO; Non-Final Office Action dated Sep. 21, 2021 in U.S. Appl. No. 16/736,336.
USPTO; Final Office Action dated Sep. 15, 2021 in U.S. Appl. No. 16/789,138.
USPTO; Non-Final Office Action dated Jun. 24, 2021 in U.S. Appl. No. 16/792,058.
USPTO; Notice of Allowance dated Oct. 19, 2021 in U.S. Appl. No. 16/792,058.
USPTO; Non-Final Office Action dated Oct. 6, 2021 in U.S. Appl. No. 16/792,544.
USPTO; Notice of Allowance dated Sep. 27, 2021 in U.S. Appl. No. 16/792,571.
USPTO; Non-Final Office Action dated Aug. 17, 2021 in U.S. Appl. No. 16/797,346.
USPTO; Notice of Allowance dated Jul. 21, 2021 in U.S. Appl. No. 16/816,078.
USPTO; Non-Final Office Action dated Jun. 23, 2021 in U.S. Appl. No. 16/827,012.
USPTO; Non-Final Office Action dated Feb. 23, 2021 in U.S. Appl. No. 16/827,506.
USPTO; Notice of Allowance dated May 27, 2021 in U.S. Appl. No. 16/827,506.
USPTO; Final Office Action dated Oct. 6, 2021 in U.S. Appl. No. 16/828,753.
USPTO; Non-Final Office Action dated Jul. 28, 2021 in U.S. Appl. No. 16/835,283.
USPTO; Non-Final Office Action dated Oct. 4, 2021 in U.S. Appl. No. 16/840,960.
USPTO; Non-Final Office Action dated Aug. 3, 2021 in U.S. Appl. No. 16/849,793.
USPTO; Non-Final Office Action dated Jun. 15, 2021 in U.S. Appl. No. 16/861,144.
USPTO; Final Office Action dated Sep. 17, 2021 in U.S. Appl. No. 16/861,144.
USPTO; Non-Final Office Action dated Sep. 1, 2021 in U.S. Appl. No. 16/867,385.
USPTO; Final Office Action dated Oct. 7, 2021 in U.S. Appl. No. 16/872,045.
USPTO; Final Office Action dated Oct. 14, 2021 in U.S. Appl. No. 16/878,443.
USPTO; Non-Final Office Action dated Oct. 4, 2021 in U.S. Appl. No. 16/886,405.
USPTO; Non-Final Office Action dated Jul. 28, 2021 in U.S. Appl. No. 16/888,423.
USPTO; Non-Final Office Action dated Oct. 13, 2021 in U.S. Appl. No. 16/893,206.
USPTO; Notice of Allowance dated Jun. 17, 2021 in U.S. Appl. No. 16/924,595.
USPTO; Non-Final Office Action dated Jul. 27, 2021 in U.S. Appl. No. 16/930,193.
USPTO; Non-Final Office Action dated Jun. 25, 2021 in U.S. Appl. No. 16/930,305.
USPTO; Non-Final Office Action dated Sep. 14, 2021 in U.S. Appl. No. 16/932,707.
USPTO; Non-Final Office Action dated Oct. 4, 2021 in U.S. Appl. No. 16/935,275.
USPTO; Notice of Allowance dated Sep. 22, 2021 in U.S. Appl. No. 16/935,280.
USPTO; Non-Final Office Action dated Aug. 23, 2021 in U.S. Appl. No. 16/938,868.
USPTO; Non-Final Office Action dated Oct. 12, 2021 in U.S. Appl. No. 16/944,763.
USPTO; Non-Final Office Action dated Aug. 19, 2021 in U.S. Appl. No. 16/992,806.
USPTO; Non-Final Office Action dated Jul. 7, 2021 in U.S. Appl. No. 16/999,065.
USPTO; Final Office Action dated Oct. 21, 2021 in U.S. Appl. No. 16/999,065.
USPTO; Final Office Action dated Jul. 23, 2021 in U.S. Appl. No. 17/009,093.
USPTO; Advisory Action dated Oct. 5, 2021 in U.S. Appl. No. 17/009,093.
USPTO; Non-Final Office Action dated Sep. 28, 2021 in U.S. Appl. No. 17/023,129.
USPTO; Notice of Allowance dated Oct. 14, 2021 in U.S. Appl. No. 17/024,092.
USPTO; Notice of Allowance dated Oct. 4, 2021 in U.S. Appl. No. 17/028,066.
USPTO; Non-Final Office Action dated Sep. 10, 2021 in U.S. Appl. No. 17/038,514.
USPTO; Non-Final Office Action dated Oct. 22, 2021 in U.S. Appl. No. 17/073,544.
USPTO; Non-Final Office Action dated Sep. 30, 2021 in U.S. Appl. No. 17/093,224.
USPTO; Non-Final Office Action dated Sep. 22, 2021 in U.S. Appl. No. 17/126,275.
USPTO; Non-Final Office Action dated Sep. 23, 2021 in U.S. Appl. No. 17/254,111.
USPTO; Non-Final Office Action dated Sep. 27, 2021 in U.S. Appl. No. 17/254,366.
USPTO; Notice of Allowance dated Jul. 1, 2021 in U.S. Appl. No. 29/679,620.
USPTO; Notice of Allowance dated Jul. 9, 2021 in U.S. Appl. No. 29/692,490.
USPTO; Non-Final Office Action dated Jul. 14, 2021 in U.S. Appl. No. 29/695,044.
USPTO; Notice of Allowance dated Jun. 8, 2021 in U.S. Appl. No. 29/696,472.
USPTO; Notice of Allowance dated Sep. 21, 2021 in U.S. Appl. No. 29/702,865.
USPTO; Notice of Allowance dated Dec. 7, 2022 in U.S. Appl. No. 17/544,982.
Akiyama et al. “Macro- and micro-scale simulation of growth rate and composition in MOCVD of yttria-stabilized zirconia” J. Crystal Growth 241, pp. 352-362 (2002).
Alen, “Atomic layer deposition of TaN, NbN and MoN films for Cu Metallizations,” University of Helsinki Finland, 72 pages, (2005).
Altiere et al. “Review Article: Plasma-surface interactions at the atomic scale for patterning metals” J. Vac. Sci. Technol. A 35, 05C203, 13 pages (2017).
Ande et al. “Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride” J. Phys. Chem. Lett., 6(18), 16 pages (2015).
Aoyagi et al. “Laser-assisted atomic layer epitaxy” Materials Science and Engineering, pp. 121-132 (1991).
Arita et al. “Electrical and optical properties of germanium-doped zinc oxide thin films” Materials Transactions, vol. 45, No. 11, pp. 3180-3183 (2004).
Arnold et al., “Novel single-layer vanadium sulphide phases” 2D Materials, 5, 045009, 11 pages (2018).
Athavale et al., “Realization of Atomic Layer Etching of Silicon”, Journal of Vacuum Science and Technology B, vol. 14, pp. 3702-3705 (1996).
Atta et al. “The Catalytic Activity of Ruthenates ARuO3 (A=Ca, Sr or Ba) for the Hydrogen Evolution Reaction in Acidic Medium” Int. J. Electrochem. Sci. 7, pp. 725-746 (2012).
Aubin et al. “Very low temperature (450° C.) selective epitaxial growth of heavily in situ boron-doped SiGe layers” Semiconductor Science and Technology, 30, 10 pages (2015).
Ballal “Mass Transfer in Porous Solids under Pulsating Pressure Conditions” SLJ International, vol. 35, Nov. 4, pp. 446-448 (1995).
Bark et al. “Large-area niobium disulfide thin films as transparent electrodes for devices based on two-dimensional materials,” Nanoscale, published online, 7 pages (2012).
Barreca et al. “Cobalt oxide nanomaterials by vapor phase synthesis for fast and reversible lithium storage” J Phys Chem C,114, 10054-10060 (2010).
Barnscheidt et al. “Highly boron-doped germanium layers on Si(001) grown by carbon-mediated epitaxy” Semiconductor Science and Technology, 33, 9 pages (2018).
Basuvalingam et al. “NS-WeA6—Low Temperature ALD for Phase-controlled Synthesis of 2D Transition Metal (M=Ti, Nb) di-(MX2) and Tri-(MX3) Sulfides,” AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)), Jul. 22, 2019, Abstract, 1 page (2019).
Bearzotti, et al., “Fast Humidity Response of a Metal Halide-Doped Novel Polymer,” Sensors and Actuators B, 7, pp. 451-454, (1992).
Becker et al., “Atomic Layer Deposition of Insulating Hafnium and Zirconium Nitrides,” Chem. Mater., 16, 3497-3501 (2004).
Belyansky et al. “Low Temperature Borophosphosilicate Glass (BPSG) Process for High Aspect Ratio Gap Fill” www.electrochem.org/dl/ma/201/pdfs/0705.pdf, downloaded May 15, 2021, 1 page.
Beynet et al. “Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning,” Proceedings of SPIE, 7520, (2009).
Bhatnagar et al., “Copper Interconnect Advances to Meet Moore's Law Milestones,” Solid State Technology, 52, 10 (2009).
Boscher et al., “Atmosphere Pressure Chemical Vapour Deposition of NbSe2 Thin Films on Glass” Eur. J. Inorg. Chem., pp. 1255-1259 (2006).
Brewin et al. “UPS to deploy Bluetooth, wireless LAN network” Internet, pp. 1-5 (2001).
Bulletin Plasma Source—Dec. 2002: Type AX7610—Downstream Plasma Source. Source location: MKS Instruments, Inc. http://www.mksinst.com/. Accessed: Aug. 6, 2015. pp. 1-4 (2002).
Bulletin ASTRONe—Apr. 2002: Type AX7680—Reactive Gas Generator. Source location: MKS Instruments, Inc. http://www.mksinst.com/. Accessed: Aug. 6, 2015. pp. 1-2 (2002).
Bulletin ASTRONex—Jul. 2009: Type AX7685—Reactive Gas Generator. Source location: MKS Instruments, Inc. http://www.mksinst.com/. Accessed: Aug. 6, 2015. pp. 1-2 (2002).
Bulletin ASTRON hf-s—Mar. 2005: Type AX7645—Reactive Gas Generator. Source location: MKS Instruments, Inc. http://www.mksinst.com/. Accessed: Aug. 6, 2015. pp. 1-2 (2005).
Bulletin ENG-XSTREAM-340-09 OM Aug. 2006: Xstream with Active Matching Network Remote Plasma Source. Advanced Energy Industries, Inc. http://www.advanced-energy.net.cn/upload/File/Sources/ENG-Xstream-340-09. pdf. Accessed: Jul. 19, 2016. pp. 1-4 (2006).
Bulletin R*evolution—Sep. 2006: Type AX7690—Remote Plasma Source. Source location: MKS Instruments, Inc. http://www.mksinst.com/. Accessed: Aug. 6, 2015. pp. 1-2 (2006).
Buriak, “Organometallic Chemistry on Silicon and Germanium Surfaces,” Chemical Reviews, 102, 5 (2002).
Cahiez et al. “Cobalt-Catalyzed cross coupling reaction between functionalized primary and secondary alkyl halides and aliphatic grignard reagents” Adv. Synth. Catal, 350, 1484-1488 (2008).
Callaghan et al. “Magnetic Interactions in Ternary Ruthenium Oxides” Inorganic Chemistry, vol. 5, No. 9, pp. 1572-1576 (1966).
Cant et al., “Chemisorption Sites on Porous Silica Glass and on Mixed-Oxide Catalysis,” Can. J. Chem. 46, 1373 (1968).
Carmalt et al., “Chemical Vapor Deposition of Niobium Disulfide Thin Films” Eur. J. Inorg. Chem., pp. 4470-4476 (2004).
Casey et al. “Chemical Vapor Deposition of Mo onto Si” J. Electrochem. Soc.: Solid State Science, 114 (2), pp. 201-204 (1967).
Chang et al. “Small-Subthreshold-Swing and Low-Voltage Flexible Organic Thin-Film Transistors Which Use HfLaO as the Gate Dielectric,” IEEE Electron Device Letters, Feb. 2009, pp. 133-135; vol. 30, No. 2; IEEE Electron Device Society.
Chatterjee et al. “Chemical Vapor Deposition of Boron Nitride Nanosheets on Metallic Substrates via Decaborane/Ammonia Reactions”, Chem. Mater. 23, pp. 4414-4416 (2011).
Chatterjee et al., “Sub-100nm Gate Length Metal Gate NMOS Transistors Fabricated by a Replacement by a Replacement Gate Process,” IEEE Semiconductor Process and Device Center, 821-824 (1997).
Chen et al., “A Self-Aligned Airgap Interconnect Scheme,” IEEE International Interconnect Technology Conference, vol. 1-3, 146-148 (2009).
Chen et al., “Develop Gap-fill Process of Shallow Trench Isolation in 450mm Wafer by Advanced Flowable CVD Technology for Sub-20nm Node,” 2016 27th Annual Semi Advanced Semiconductor.
Cheng et al., “Effect of carrier gas on the structure and electric properties of low dielectric constant SiCOH film using trimethylsilane prepared by plasma enhanced chemical vapor deposition,” Thin Solid Films vol. 469-470, pp. 178-183 (2004).
Cheremisin et al. “UV-laser modification and selective ion-beam etching of amorphous vanadium pentoxide thin films” Phys. Status Solidi A, Applications and materials science, 206 (7), pp. 1484-1487 (2009).
Choi et al., “Improvement of Silicon Direct Bonding using Surfaces Activated by Hydrogen Plasma Treatment,” Journal of the Korean Physical Society, 37, 6, 878-881 (2000).
Choi et al., “Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma,” ECS Solid State Letters, 2(12) p. 114-p. 116 (2013).
Choi et al. “UV irradiation effects on the bonding structure and electrical properties of ultra low-k SiOC(-H) thin films for 45 nm technology node” Current Applied Physics, 11, S109-S113 (2011).
Closser et al., “Molecular Layer Deposition of a Highly Stable Silicon Oxycarbide Thin Film Using an Organic Chlorosilane and Water,” ACS Applied Materials & Interfaces 10, pp. 24266-24274 (2018).
Coates, “Process Analytical Technology: Spectroscopic Tools and Implementation Strategies for the Chemical and Pharmaceutical Industries.” Blackwell Publishing Ltd, 91-132, (2005).
Conroy et al., “The Preparation and Properties of Single Crystals of the 1S and 2S Polymorphs of Tantalum Disulfide” J. Solid State Chemistry, 4, pp. 345-350 (1972).
Crowell, “Chemical methods of thin film deposition: Chemical vapor deposition, atomic layer deposition, and related technologies,” Journal of Vacuum Science & Technology A 21.5, (2003): S88-S95.
Cui et al., “Impact of Reductive N2/H2 Plasma on Porous Low-Dielectric Constant SiCOH Thin Films,” Journal of Applied Physics 97, 113302, 1-8 (2005).
Crystal IS “Application Note: Using UV Reflective Materials to Maximize Disinfection”; AN011; Jun. 16, 2016, found at https://www.klaran.com/using-uv-reflective-materials-to-maximize-disinfection.
De Silva et al., “Inorganic Hardmask Development for Extreme Ultraviolet Patterning,” Journal of Micro/Nanolithography, MEMS, and MOEMS 18(1) (2018).
Dingemans et al., “Comparison Between Aluminum Oxide Surface Passivation Films Deposited with Thermal Aid,” Plasma Aid and Pecvd, 35th IEEE PVCS, Jun. 2010.
Dingemans et al. “Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties” J of the Electrochemical Society, 159(3), H277-H285 (2012).
Drummond et al., “Hydrophobic Radiofrequency Plasma-Deposited Polymer Films: Dielectric Properties and Surface Forces,” Colloids and Surfaces A, 129-130, 117-129 (2006).
Duffey et al., “Raman Scattering from 1T-TaS2” Solid State Communications 20, pp. 617-621 (1976).
Dufond et al. “Quantifying the Extent of Ligand Incorporation and the Effect on Properties of TiO 2 Thin Films Grown by Atomic Layer Deposition Using an Alkoxide or an Alkylamide” Chemistry of Material, American Chemical Society 32(4), pp. 1393-1407 (2020).
Dultsev et al. “Effect of UV radiation on the reactivity of porous methyl-doped SiO2 layers” Phys. Status Solidi C, 8, No. 6, pp. 1946-1949 (2011).
Easley et al., “Thermal Isolation of Microchip Reaction Chambers for Rapid Non-Contact DNA Amplification,” J. Micromech. Microeng. 17, 1758-1766 (2007).
Elam et al., “New Insights into Sequential Infiltration Synthesis”, ECS Transactions, vol. 69, pp. 147-157 (2015).
Elers et al. “Film Uniformity in Atomic Layer Deposition,” Chemical Vapor Deposition, 12, pp. 13-24 (2006).
Ellis et al. “Nitrous Oxide (N2O) Processing for Silicon Oxynitride Gate Dielectrics.” IBM Journal of Research and Development. 1999. 43[3]. pp. 287-300. (1999).
Esposito et al. “Preparation and characterization of lead ruthenate based composite cathodes for SOFC applications” Mater. Res. Soc. Symp. Proc., vol. 835, 6 pages (2005).
Fallica et al., “Dynamic Absorption Coefficients of Chemically Amplified Resists and Nonchemically Amplified Resists at Extreme Ultraviolet”, J. Micro/Nanolith. MEMS MOEMS 15(3), pp. 033506-1-033506-7 (2016).
“Fiji F200 200mm Thermal/Plasma ALD Systems: Installation and Use Manual.” CAW-02635 Rev. 0.6 (Mar. 13, 2012). Cambridge NanoTech Inc. pp. 1-164 (2012).
Fray et al. “Aspects of the Application of Electrochemistry to the Extraction of Titanium and Its Applications” Materials Transactions, vol. 58, No. 3, pp. 306-312 (2017).
Freund et al. “Single crystal growth from separated educts and its application to lithum transition-metal oxides” Sci. Rep. 6, 35362, pp. 1-5 (2016).
Frequestly Asked Question, “What is a load lock chamber?”, Kurt J. Lesker Company, www.lesker.com, p. 1 (2020).
Fu et al., “Controlled Synthesis of Atomically Thin 1T-TaS2 for Tunable Charge Density Wave Phase Transitions” Chem. Mater. 28, pp. 7613-7618 (2016).
G02-1152 “Atomic Layer Deposition of Al2O3 with Alcohol Oxidants for Impeding Substrate Oxidation” Abstract. Oct. 16, 2019 (2019).
Galesic et al. “Formation of vanadium nitride by rapid thermal processing” Thin Solid Films 349(1), 7 pages (1999).
Ge et al., “Carbon Nanotube-Based Synthetic Gecko Tapes,” Department of Polymer Science, PNAS, 10792-10795 (2007).
Ge et al. “Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD,” Nanoscale, vol. 5, 5773-5778 (2013).
George et al., “Atomic Layer Deposition: An Overview,” Chem. Rev. 110, 111-131 (2010).
Gesheva et al. “Composition and Microstructure of Black Molybdenum Photothermal Converter Layers Deposited by the Pyrolytic Hydrogen Reduction of MoO2Cl2” Thin Solid Films, 79, pp. 39-49 (1981).
Glass et al. “The Chemical Vapor Deposition of Metal Boride Thin Films from Polyhedral Cluster Species”, Winter and Hoffman, Inorganic Materials Synthesis. ACS Symposium Series; American Cemical Society: Washington DC, Chapter 10, pp. 130-143 (1999).
Gole et al. “Preparation of Nickel Sulfide Thin Films and Nanocrystallites Using Nickel Furfuraldehyde Thiosemicarbazone as Single-source Precursor,” Advanced Materials Research, vols. 383-390, pp. 3828-3834 (2012).
Gordon et al. “A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches.” Chemical Vapor Deposition. 9 [2]. pp 73-78 (2003).
Grill et al., “The Effect of Plasma Chemistry on the Damage Induced Porous SiCOH Dielectrics,” IBM Research Division, RC23683 (W0508-008), Materials Science, 1-19 (2005).
Guan et al., “Voltage gated ion and molecule transport in engineered nanochannels: theory, fabrication and applications,” Nanotechnology 25 (2014) 122001.
Gupta et al., “Charge carrier transport and electroluminescence in atomic layer deposited poly-GaN/c-Si heterojunction diodes,” Journal of Applied Physics, 124, 084503 (2018).
Gupta et al., “Conversion of Metal Carbides to Carbide Derived Carbon by Reactive Ion Etching in Halogen Gas,” Proceedings of SPIE—The International Society for Optical Engineering and Nanotechnologies for Space Applications, ISSN: 0277-786X (2006).
Habib et al. “Atmospheric oxygen plasma activation of silicon (100) surfaces,” American Vacuum Society, 28(3), pp. 476-485 (2010).
Hamalainen et al., “Atomic Layer Deposition of Rhenium Disulfide,” Adv. Mater. 30.24, 6 pages (2018).
Han et al., “van der Waals Metallic Transition Metal Dichalcogenides” Chem. Rev. 118, pp. 6297-6336 (2018).
Hansen. “A Primer on Vacuum Pressure Measurement.” Vacuum Technology & Coating. Jun. 2009. pp. 36-42 (2009).
Hansen. “Speed, Pressure and Throughput: Part 1 System Diagnostics.” Vacuum Technology & Coating. Sep. 2011. pp. 14-17 (2011).
Hansen. “Speed, Pressure and Throughput: Part 2 Managing Gas Flow in High Vacuum Systems.” Vacuum Technology & Coating. Oct. 2011. pp. 19-22 (2011).
Hansen. “Speed, Pressure and Throughput: Part 3 Automating the Pressure Control Process.” Vacuum Technology & Coating. Nov. 2011. pp. 22-25 (2011).
Hansen. “Speed, Pressure and Throughput: Part 4 Outgassing and Base Pressure.” Vacuum Technology & Coating. Dec. 2011. pp. 22-25 (2011).
Hansen. “Speed, Pressure and Throughput: Part 5 Leaks and Gas Flow in Leak Detection.” Vacuum Technology & Coating. Jan. 2012. pp. 18-21 (2011).
Hargreaves et al., “New Fluorides and Oxyfluorides of Rhenium,” J. Chem. Soc., pp. 1099-1103 (1960).
Harrison et al., “Poly-gate Replacement Through Contact Hole (PRETCH): A New Method for High-K/ Metal Gate and Multi-Oxide Implementation on Chip,” IEEE (2004).
Hayashi et al. “Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition,” Journal of Applied Physics. vol. 89, No. 12, pp. 7924-7931 (2001).
Heo et al., “Structural Characterization of Nanoporous Low-Dielectric Constant SiCOH Films Using Organosilane Precursors,” NSTI-Nanotech, vol. 4, 122-123 (2007).
Henke et al.., “X-Ray Interactions: Photo absorption, Scattering, Transmission, and Reflection at E=50-30,000 eV, Z=1-92,” Atomic Data and Nuclear Data Tables, 54, 181-342 (1993).
Heyne et al., “The conversion mechanism of amorphous silicon to stoichiometric WS2” J. Materials Chemistry C, 6, pp. 4122-4130 (2018).
Hong et al. “Ultralow-dielectric-constant amoprhous boron nitride” Nature, vol. 582, 16 pages (2020).
Hossain et al., “Recent Advances in Two-Dimensional Materials with Charge Density Waves: Synthesis, Characterization and Applications” Crystals 7, 298, 19 pages (2017).
Hubert et al., “A Stacked SONOS Technology, up to 4 Levels and 6nm Crystalline Nanowires, With Gate-All-Around or Independent Gates (-Flash), Suitable for Full 3D Integration,” Minatec, IEDM09-637-640 (2009).
Hudis, “Surface Crosslinking of Polyethylene Using a Hydrogen Glow Discharge,” J. Appl. Polym. Sci., 16 (1972) 2397.
Imamura et al. “Cyclic C4F8 and O2 plasma etching of TiO2 for high-aspect-ratio three-dimensional devices” Template for JJAP Regular Papers, Jan. 2014, pp. 29 (2014).
Ivanova et al. “ALD of Sc2O3 using Sc(Cp)3 and a Novel Heteroleptic Precursors” Presented on the AVS 19th International Conference on Atomic Layer Deposition, Jul. 23, 2019, Abstract, 1 page (2019).
Jensen et al. “Titanium, Zirconium, and Hafnium Tetrahydroborates as “Tailored” CVD Precursors for Metal Diboride Thin Films”, J. Am. Chem. Soc. 110, pp. 1643-1644 (1988).
Johansson et al. “Towards absolute asymmetric synthesis. Synthesis and crystal structure of stereochemically labile MCl2 (M=CO, Ni, Cu, Zn) complexes with diamine ligands,” Inorganica Chimica Acta 358, pp. 3293-3302 (2005).
Johnson et al. “A brief review of atomic layer deposition: from fundamentals to applications” Materials Today, vol. 17, Issue 5, pp. 236-246 (2014).
Jones et al., “Growth of Aluminum Films by Low Pressure Chemical Vapour Deposition Using Tritertiarybutylaluminium,” Journal of Crystal Growth 135, pp. 285-289, Elsevier Science B.V. (1994).
Jones et al., “Recent Developments in Metalorganic Precursors for Metalorganic Chemical Vapour Deposition,” Journal of Crystal Growth 146, pp. 503-510, Elsevier Science B.V. (1995).
Jung et al., “Double Patterning of Contact Array with Carbon Polymer,” Proc. of SPIE, 6924, 69240C, 1-10 (2008).
Jung et al. “New Mechanisms for Ozone-Based ALO Growth of High-k Dielectrics via Nitrogen-Oxygen Species” ECS Transactions, 33(2), pp. 91-99 (2010).
Juppo et al. “Deposition of molybdenum thin films by an alternate supply of MoCl 5 and Zn” J of Vacuumn Science & Technology A, 16, pp. 2845-2850 (1998).
Katamreddy et al., “ALD and Characterization of Aluminum Oxide Deposited on Si(100) using Tris(diethylamino) Aluminum and Water Vapor,” Journal of the Electrochemical Society, 153 (10) C701-C706 (2006).
Kern et al., “Chemically Vapor-Deposited Borophosphosilicate Glasses for Silicon Device Applications” RCE Review, 43, 3, pp. 423-457 (1982).
Kerrigan et al. “Low Temperature, Selective Atomic Layer Deposition of Cobalt Metal Films Using Bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and Alkylamine Precursors,” Chem. Materials, 29, pp. 7458-7466 (2017).
Khandelwal et al. “Low-temperature Ar/N2 remote plasma nitridation of SiO2 thin films,” J. Vacuum Science & Technology A, 20(6), pp. 1989-1996 (2002).
Kim et al. “Characteristics of Low Temperature High Quality Silicon Oxide by Plasma Enhanced Atomic Layer Deposition with In-Situ Plasma Densification Process,” The Electrochemical Society, ECS Transactions, College of Information and Communication Engineering, Sungkyunkwan University, 53(1), 321-329 (2013).
Kim et al. “High Growth Rate in Atomic Layer Deposition of TiO2 Thin Films by UV Irradiation” Electrochemical and Solid-State Letters, 14(4), pp. H146-H148 (2011).
Kim et al. “Novel Flowable CVD Process Technology for sub-20nm Interlayer Dielectrics,” IEEE International Interconnect Technology Conference (IITC 2012), San Jose, California, USA, Jun. 4-6, 2012, pp. 1-3 (2012).
Kim et al. “Passivation Effect on Low-k S/OC Dielectrics by H2 Plasma Treatment,” Journal of the Korean Physical Society, ″40, 1, 94-98 (2002).
Kim et al. “A process for topographically selective deposition on 3D nanostructures by ion implantation” ACS Nano, 10, 4, 4451-4458 (2016).
Kim et al. “Thermal Decomposition of Tetrakis(ethylmethylamido) Titanium for Chemical Vapor Deposition of Titanium Nitride” Bull. Korean Chem. Soc., vol. 27, No. 2, 5 pages (2006).
King, Plasma Enhanced Atomic Layer Deposition of SiNx: H and SiO2, J. Vac. Sci. Technol., A29(4) (2011).
Kirsch et al. “Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning” Applied Physics Letters 92, 092901, 3 pages (2008).
Kjesbu, ABB Corporate Research, Internet, pp. 1-37 (2002).
Kliem et al. “Silyl Group Migrations Between Oxygen and Nitrogen in Aminosiloxanes”; Organosilicon Chemistry VI: from Molecules to Materials; pp. 216-221 (2005).
Klug et al., “Atomic Layer Deposition of Amorphous Niobium Carbide-Based Thin Film Superconductors,” The Journal of Physical Chemistry C, vol. 115, pp. 25063-25071, (2011).
Kobayshi, et al., “Temperature Dependence of SiO2 Film Growth with Plasma-Enhanced Atomic Layer Deposition,” regarding Thin Solid Films, published by Elsevier in the International Journal on the Science and Technology of Condensed Matter, in vol. 520, No. 11, 3994-3998 (2012).
Kofuji et al. “Line-edge roughness increase due to wiggling enhanced by initial pattern waviness” Jpn. J. Appl. Phys. 53, 03DE01, pp. 1-7 (2014).
Kofuji et al. “Mechanism of wiggling enhancement due to HBr gas addition during amorphous carbon etching” Jpn. J. Appl. Phys. 54, 06FH04, pp. 107 (2015).
Kogelschatz et al. “Ozone Generation from Oxygen and Air: Discharge Physics and Reaction Mechanisms” Ozone Science & Engineering, 10, pp. 367-378 (1998).
Koo et al., “Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method,” Journal of Physical Society, 48, 1, 131-136 (2006).
Koutsokeras et al. “Texture and Microstructure Evolution in Single-Phase TixTal-xN Alloys of Rocksalt Structure,” Journal of Applied Physics, 110, pp. 043535-1-043535-6, (2011).
Kovalgin et al. “Hot-Wire Assisted ALD: A Study Powered by In Situ Spectroscopic Ellipsometry” Adv. Mater. Interfaces 4, 1700058, 11 pages (2017).
Knoops et al., “Atomic Layer Deposition of Silicon Nitride from Bis(tert-butyloamino) silane and N2 Plasma,” Applied Materials & Interfaces, American Chemical Society, A-E (2015).
Krenek et al. “IR Laser CVD of Nanodisperse Ge—Si—Sn Alloys Obtained by Dielectric Breakdown of GeH4/SiH4/SnH4 Mixtures”, NanoCon 2014, Nov. 5-7, Brno, Czech Republic, EU.
Krumdieck et al. “Experimental Characterization and Modeling of Pulsed MOCVD with Ultrasonic Atomization of Liquid Precusor” Chem. Vap. Deposition, 7 (2), pp. 85-90 (2001).
Krumdieck “Kinetic Model of Low Pressure Film Deposition from Single Precursor Vapor in a Well-Mixed, Cold-Wall Reactor” Acta mater., 49, pp. 583-588 (2001).
Kucheyev et al. “Mechanisms of Atomic Layer Deposition on Substrates with Ultrahigh Aspect Ratios.” Langmuir. 24 [3]. pp. 943-948 (2008).
Kuchumov et al. “Pulsed MO CVD Processes of MgO Layer Deposition from Mg(thd)2” ECS Trans. 25, pp. 927-934 (2009).
Kukli et al. “Atomic Layer Deposition and Chemical Vapor Deposition of Tantalum Oxide by Successive and Simultaneous Pulsing of Tantalum Ethoxide and Tantalum Chloride” Chem. Mater. 12, pp. 1914-1920 (2000).
Kukli et al. “Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films from Ta(OC2H5)5 and H20” The Electrochemical Society, vol. 142, No. 5, pp. 1670-1674 (1995).
Kukli et al., “Influence of atomic layer deposition parameters on the phase content of Ta2O5 films” J. Crystal Growth, 212, pp. 459-468 (2000).
Kukli et al., “Properties of hafnium oxide films grown by atomic layer deposition from hafnium tetraiodide and oxygen”. Journal of Applied Physics, vol. 92, No. 10, Nov. 15, 2002, pp. 5698-5703 (2002).
Kukli et al. “Properties of tantalum oxide thin films grown by atomic layer deposition” Thin Solid Films, 260, pp. 135-142 (1995).
Kumar et al. “Bringing the benefits of Java to Bluetooth” http://www.allembedded.com/story/OEG20020519S001, pp. 1-3 (2002).
Kurosawa et al. “Synthesis and Characterization of Plasma-Polymerized Hexamethyldisiloxane Films,” Thin Solid Films, 506-507, 176-179 (2006).
Kwon et al. “Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt,” Chem. Materials, 24, pp. 1025-1030 (2012).
Lanford et al., “The Hydrogen Content of Plasmadeposited Silicon Nitride,” J. Appl. Phys., 49, 2473 (1978).
Lee et al. “Characteristics of Low-K Sioc Films Deposited via Atomic Layer Deposition,” Thin Solid Films 645, pp. 334-339 (2018).
Lee et al. “Enahancement of Iodine Adsorption on Ruthenium Glue Layer for Seedless CECVD of Cu” Electrochemical and Solid-State Letters, 8(2) C39-C42 (2005).
Lee et al. “Growth without Postannealing of Monoclinic VO2 Thin Film by Atomic Layer Deposition Using VCl4 as Precursor” Coatings, 8, 431, pp. 1-11 (2018).
Lee et al. “Layer Selection by Multi-Level Permutation in 3-D Stacked NAND Flash Memory,” IEEE Electron Device Letters, vol. 37, No. 7, 866-869 (2016).
Lee et al. “Photo-Induced Atomic Layer Deposition of Tantalum Oxide Thin Films from Ta(OC2H5)5 and O2” J of the Electrochemical Society. 151(1) pp. C52-C55 (2004).
Lee et al. “Ultraviolet light enhancement of Ta2O5 dry etch rates” J. of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 18, pp. 293-295 (2000).
Levy et al., “Reflow Mechanisms of Contact Vias in VLSI Processing” J. Electrochem. Soc.: Solid-State Science and Technology, 133, 7, pp. 1417-1424 (1986).
Lewis et al. “Hawley's Condensed Chemical Dictionary” 12th Edition; excerpt pp. 1027-1038 (2005).
Li et al. “Metallic Transition-Metal Dichalcogenide Nanocatalysts for Energy Conversion” Chem. 4, pp. 1510-1537 (2018).
Liang et al. “Conversion of Metal Carbides to Carbide Derived Carbon by Reactive Ion Etching in Halogen Gas” Micro (MEMS) and Nantoechnologies for Space Applications, Thomas George et al. vol. 6223, 2006 p. 62230J-I to 62230J-11 lines 3-14 in the “Abstract” section and lines 7-9 in the “Introduction” section of p. 1, lines 3-4 in the “Introduction” section and lines 3-4 in the “Experimental Procedure” section of p. 2 (2006).
Lieberman, et al., “Principles of Plasma Discharges and Materials Processing,” Second Edition, 368-381 (2005).
Lim et al., “Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition,” ETRI Journal, 27 (1), 118-121 (2005).
Lim et al. “Synthesis and Characterization of Volatile, Thermally Stable, Reactive Transistion Metal Amidinates,” Inorg. Chem., 42, pp. 7951-7958 (2003).
Liu et al., “Research, Design, and Experiment of End Effector for Wafer Transfer Robot,” Industrial Robot: An International Journal, 79-91 (2012).
Liu et al., “Van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier” Sci. Adv. 2: e1600069, 7 pages (2016).
Londergan et al. “Engineered Low Resistivity Titanium-Tantalum Nitride Films by Atomic Layer Deposition,” Mat. Res. Soc. Symp. Proc., vol. 714E, pp. L5.3.1-L5.3.6 (2001).
Longrie et al., “Plasma-Enhanced ALD of Platinum with O2, N2 and NH3 Plasmas”, ECS Journal of Solid State Science and Technology, vol. 1, pp. Q123-Q129 (2012).
Mackenzie et al. “Stress Control of Si-Based PEVCD Dielectrics,” Proc. Symp. Silicon Nitrode and Silicon Dioxide Thin Insulating Films & Other Emerging Dielectrics VIII, 148-159 (2005).
Mackus et al., “Optical Emission Spectroscopy as a Tool for Studying Optimizing and Monitoring Plasma-Assisted Atomic Layer Deposition Processes,” Journal of Vacuum Science and Technology, 77-87 (2010).
Maeng et al. Electrical properties of atomic layer disposition Hf02 and Hf0xNy on Si substrates with various crystal orientations, Journal of the Electrochemical Society, Apr. 2008, p. H267-H271, vol. 155, No. 4, Department of Materials Science and Engineering, Pohang University of Science and Technology, Pohang, Korea (2008).
Maeno, “Gecko Tape Using Carbon Nanotubes,” Nitto Denko Gihou, 47, 48-51 (2009).
Makela et al. “Thermal Atomic Layer Deposition of Continuous and Highly Conducting Gold Thin Films,” Chem. Materials, 29, pp. 6130-6136 (2017).
Mameli et al. “Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle” ACS Nano 11, pp. 9303-9311 (2017).
Mameli et al. “Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plama” ACS Appl. Mater. Interfaces 10, pp. 38588-38595 (2018).
Marsik et al., “Effect of Ultraviolet Curing Wavelength on Low-k Dielectric Material Properties and Plasma Damage Resistance,” Sciencedirect.com, 519, 11, 3619-3626 (2011).
Mason et al., “Hydrolysis of Tri-tert-butylaluminum: The First Structural Characterization of Alkylalumoxanes [(R2A1)2O]n and (RAIO)n,” J. American Chemical Society, vol. 115, No. 12, pp. 4971-4984 (1993).
Massachusetts Institute of Technology Lincoln Laboratory, “Solid State Research,” Quarterly Technical Report (1995).
Mattinen et al., “Crystalline tungsten sulfide thin films by atomic layer deposition and mild annealing” J. Vac. Sci. Tech. 37, 020921, 35 pages (2019).
Maydannik et al., “Spatial atomic layer deposition: Performance of low temperature H2O and 03oxidant chemistry for flexible electronics encapsulation”, Journal of Vacuum Science and Technology: Part A AVS/ AIP, vol. 33 (1901).
Meng et al., “Atomic Layer of Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks,” Materials, 9, 1007 (2016).
Merdrignac-Conanec et al. “Nitridation under ammonia of high surface area vanadium aerogels” Journal of Solid State Chemistry 178(1), 8 pages (2005).
Miller et al. “Carbon nitrides: synthesis and characterization of a new class of functional materials,” Phys.Chem.Chem.Phys., 19, pp. 15613-15638 (2017).
Mix et al., “Characterization of plasma-polymerized allyl alcohol polymers and copolymers with styrene,” Adhes. Sci. Technol., 21 (2007), S. 487-507.
Moeen, “Design, Modelling and Characterization of Si/SiGe Structures for IR Bolometer Applications,” KTH Royal Institute of Technology. Information and Communication Technology, Department of Integrated Devices and Circuits, Stockholm Sweden (2015).
Morishige et al., “Thermal Desorption and Infrared Studies of Ammonia Amines and Pyridines Chemisorbed on Chromic Oxide,” J. Chem. Soc., Faraday Trans. 1, 78, 2947-2957 (1982).
Mosleh et al., “Enhancement of Material Quality of (Si)GeSn Films Grown by SnCl4 Precursor,” ECS Transactions, 69 (5), 279-285 (2015).
Musschoot et al. “Atomic layer deposition of titanium nitride from TDMAT precursor” Microelectronic Engineering, 86, pp. 72-77 (2009).
Mukai et al., “A Study of CD Budget in Spacer Patterning Technology,” Proc. of SPIE, 6924, 1-8 (2008).
Naito et al. “Electrical Transport Properties in 2H-NbS2, -NbSe2, -TaS2 and -TaSe2,” J. of Physical Society of Japan, vol. 51, No. 1, 219-227 (1982).
Nakano et al., “Layer-by-Layer Epitaxial Growth of Scalable WSe2 on Sapphire by Molecular Beam Epitaxy” Nano. Lett. 17, pp. 5595-5599 (2017).
Naslain et al. “Synthesis of highly tailored ceramic matrix composites by pressure-pulsed CVI” Solid State Ionics, 141-142, pp. 541-548 (2001).
Ngo et al. “Atomic layer deposition of photoactive CoO/SrTiO3 and CoO/TiO2 on Si(001) for visible light driven photoelectrochemical water oxidation,” J. Applied Physics, 114, 9 pages (2013).
Niinisto et al. “Advanced electronic and optoelectronic materials by Atomic Layer Deposition: An overview with special emphasis on recent progress in processing of high-k dielectrics and other oxide materials” Pus. Stat. Sol. (a) 201, No. 7, pp. 1443-1452 (2004).
Nikolic et al. “The dependence of the work function of rare earth metals on their electron structure” Microelectronics Journal 27, 93-96 (1996).
Nogueira et al., “Production of Highly Hydrophobic Films Using Low Frequency and High Density Plasma,” Revista Brasileira de Aplicacoes de Vacuo, 25(1), 45-53 (2006).
Noircler et al. “Transmission electron microscopy characterization of low temperature boron doped silicon epitaxial films” CrystEngComm, 22(33), pp. 5464-5472 (2020).
Novaro et al. Theoretical Study on a Reaction Pathway of Ziegler-Natta-Type Catalysis, J. Chem. Phys. 68(5), Mar. 1, 1978 p. 2337-2351.
Ohchi et al. “Reducing damage to Si substrates during gate etching processes.” Japanese Journal of Applied Physics 47.7R 5324 (2008).
Ohtsu et al. “Influences of Gap Distance on Plasma Characteristics in Narrow Gap Capacitatively Coupled Radio-Frequency Discharge,” vol. 43, No. 2, pp. 795-799 (2004).
Ohzawa et al. “Preparation of fibrous SiC shape using pressure-pulsed chemical vapour infiltration and its properties as a high-temperature filter” J. of Materials Processing Technology, 96, pp. 151-156 (1999).
Okamoto et al., “Luminescent Properties of Pr3+-sensitized LaPO4: Gd3+ Ultraviolet-B Phosphor Under Vacuum-Ultraviolet Light Excitation,” J. App. Phys. 106, 013522 (2009).
O'Malley et al. “Structure and properties of ordered Li2IrO3 and Li2PtO3” Journal of Solid State Chemistry 181, pp. 1803-1809 (2008).
Oyama et al. “Topotactic synthesis of vanadium nitride solid foams” Journal of Materials Research vol. 8. No. 6, pp. 1450-1454 (1993).
Park et al. “Atomic layer deposition of Y2O3 films using hetroleptic liquid (iPrCp)2Y(iPr-amd) precursor” J. Mater. Chem. C, 2, 9 pages (2014).
Park, “Substituted Aluminum Metal Gate on High-K Dielectric for Low Work-Function and Fermi-Level Pinning Free,” 4 pages, IEEE 0-7803-8684-1/04 (2004).
Peters et al., “Aerosol-Assisted Chemical Vapor Deposition of NbS2 and TaS2 Thin Films from Pentakis(dimethylamido)metal Complexes and 2-Methylpropanethiol” Eur. J. Inorg. Chem., pp. 4179-4185 (2005).
Pichler. “Intrinsic Point Defects, Impurities and Their Diffusion in Silicon,” Springer-Verlag Wien, p. 367 (2004).
Portet et al., “Impact of Synthesis Conditions on Surface Chemistry and Structure of Carbide-Derived Carbons,” Thermochimica Acta, 497, 137-142 (2010).
Posseme et al. “Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium” Apply. Phys. Lett. 105, 051604, pp. 1-4 (2014).
Potts et al., “Low Temperature Plasma-Enhanced Atomic Layer Deposition of metal Oxide Thin Films,” Journal of the Electrochemical Society, 157, 66-74 (2010).
Potts et al., “Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD”, Chemical Vapor Deposition, vol. 19, pp. 125-133 (2013).
Presser, et al., “Effect of Pore Size on Carbon Dioxide Sorption by Carbide Derived Carbon,” Energy & Environmental Science 4.8, 3059-3066 (2011).
Provine et al. “Atomic Layer Deposition: Introduction to the Theory and Cambridge Nanotech Savannah & Fiji” NNIN ALD Roadshow Presentation, 49 pages (2012).
Provine et al., “Correlation of Film Density and Wet Etch Rate in Hydrofluoric Acid of Plasma Enhanced Atomic Layer Deposited Silicon Nitride,” AIP Advances, 6 (2016).
Putkonen et al. “Low-Temperature ALE Deposition of Y2O3 Thin Films from β-Diketonate Precursors” Chemical Vapor Deposition 7(1) pp. 44-50 (2001).
Qin et al., “Chemical Vapor Deposition Growth of Degenerate p-Type Mo-Doped ReS2 Films and Their Homojunction,” ACS Appl. Mater. Interfaces, 9(18), pp. 15583-15591 (2007).
Radamson et al. “Growth of Sn-alloyed Group IV Materials for Photonic and Electronic Applications” Chapter 5 pp. 129-144, Manufacturing Nano Structures (2014).
Rimoldi et al. “Atomic Layer Deposition of Rhenium-Aluminum Oxide Thin Films and ReOx Incorporation in a Metal-Organic Framework” Applied Materials & Interfaces, 9, pp. 35067-35074 (2017).
Roddy, “Transport Reactions and Vaporization Studies of Some Vanadium Halides”, Iowa State university of Science and Technology dissertation, 207 pages (1962).
Rosemount 1199 Diaphragm Seal Systems, Product Data Sheet, 92 pages (2008).
Rossing et al. “Acoustics of Eastern and Western bells, Old and New” J of Acoustical Society of Japan; 10(5); pp. 241-252 (1989).
Ryu et al., “Persistent Charge-Density-Wave Order in Single-Layer TaSe2” Nano. Lett. 18, pp. 689-694 (2018).
Saeki et al. “Reaction Process of Vanadium Tetrachloride with Ammonia in the Vapor Phase and Properties of the Vanadium Nitride Formed” Bull. Chem. Soc. Jpn., 55, pp. 3446-3449 (1982).
Sakuma et al., “Highly Scalable Horizontal Channel 3-D NAND Memory Excellent in Compatibility with Conventional Fabrication Technology,” IEEE Electron Device Letters, vol. 34, No. 9, 1142-1144 (2013).
Salim, “In-situ Fourier Transform Infrared Spectroscopy of Chemistry and Growth in Chemical Vapor Deposition,” Massachusetts Institute of Technology, 187 pages (1995).
Salim et al., “In Situ Concentration Monitoring in a Vertical OMVPE Reactor by Fiber-Optics-Based Fourier Transform Infrared Spectroscopy,” Journal of Crystal Growth 169, pp. 443-449, Elsevier Science B.V. (1996).
Samal et al., “Low-Temperature (<200° C.) Plasma Enhanced Atomic Deposition of Dense Titanium Nitride Thin Films” (2012).
Sanders et al., “Crystalline and electronic structure of single-layer TaS2” Phys. Rev. B. 94, 081404, 6 pages (2016).
Schindler, Dissertation, Next Generation High-k Dielectrics for DRAM Produced by Atomic Layer Deposition Studied by Transmission Electron Microscopy (2015).
Schmatz et al., “Unusual Isomerization Reactions in 1.3-Diaza-2-Silcyclopentanes,” Organometallics, 23, 1180-1182 (2004).
Sellers, Making Your Own Timber Dogs, Paul Sellers blog, Published on Nov. 18, 2014, [online], [site visted Jun. 10, 2017]. Available from Internet, <URL: https://paulsellers.com/2014/11/making-your-own-timber-dogs/>.
Selvaraj et al., “Selective Atomic Layer Deposition of Zirconia on Copper Patterned Silicon Substrates Using Ethanol as Oxygen Source as Well as Copper Reductant,” J. Vac. Sci. Technol. A32(1), (2014).
Selvaraj et al., “Surface Selective Atomic Layer Deposition of Hafnium Oxide for Copper Diffusion Barrier Application Using Tetrakis (diethylamino) Hafnium and Ethanol,” 225th ECS Meeting, Meeting Abstract, (May 12, 2014).
Senateur et al. “Pulsed Injection MOCVD of Functional Electronic Oxides” Adv. Mater. Opt. Electron, 10, pp. 155-161 (2000).
Seshadri et al., “Ultrathin Extreme Ultraviolet Patterning Stack Using Polymer Brush as an Adhesion Promotion Layer,” Journal of Micro/Nanolithography, MEMS, and MOEMS 16(3) (2017).
Shamma et al., “PDL Oxide Enabled Doubling,” Proc. of SPIE, 6924, 69240D, 1-10 (2008).
Shevtsov et al. “An Apparatus for Pulse Chemical Vapor Deposition of Layers” Instruments and Experimental Techniques, vol. 56, No. 3, pp. 353-357 (2013).
Shevtsov et al. “Effect of Spatial Image Transfer in a Pulse MOCVD Process” Physics Procedia 46, pp. 27-32 (2013).
Simchi et al., “Sulfidation of 2D transition metals (Mo, W, Re, Nb, Ta): thermodynamics, processing, and characterization” J. Materials Science 52: 17, 9 pages (2017).
Spear et al., “Chemical Transport Reactions in the Vanadium-Silicon-Oxygen System and the Ternary Phase Diagram”, Journal of the Less-Common Metals, 14, pp. 69-75 (1968).
Standard Motor Products LX249 Ignition Pick Up, Nov. 11, 2005, Amazon.com, May 10, 2021. URL: https://www.amazon.com/Standard-Motor-Products-LX249-Ignition/dp/B000C7ZTS4/ (2005).
Stanley et al. “Feedgas for Modern High-Performance Ozone Generators” Ozonia Ltd., Duebendorf, Switzerland. 7 pages. Available Jul. 14, 2017 online at: http://www.degremont-technologies.com/cms_medias/pdf/tech_ozonia_feedgas.pdf (1999).
Stannowski et al. “Growth process and properties of silicon nitride deposited by hot-wire chemical vapor deposition” J Applied Physics, vol. 93 No. 5, 9 pages (2013).
Su et al. “A Polymer Precursor Route to Metal Borides”, Chem. Mater., 5, pp. 1659-1668 (1993).
Svetin et al., “Three-dimensional resistivity and switching between correlated electronic states in 1T-TaS2” Nature, Scientific Reports Apr. 12, 2017, 7:46048, 10 pages (2017).
Tao et al. “Improved performance of GeON as charge storage layer in flash memory by optimal annealing” Microelectronics Reliability, vol. 52, pp. 2597-2601 (2012).
Tatehaba et al., “Adhesion Energy of Polystyrene and Substrate in Function Water,” 5th International Symposium of Cleaning Technology in Semiconductor Device Manufacturing, pp. 560-565 (1998).
Tidman et al. “Resistivity of thin TaS2 crystals,” Can. J. Phys., vol. 54, 2306-2309 (1976).
Todi et al., “Characterization of Pt—Ru Binary Alloy Thin Films for Work Function Tuning,” IEEE Electron Device Letters, vol. 27, No. 7, pp. 542-545 (2006).
Tomozeiu et al. “Effects of UV photon irradiation on SiOx (0<x<2) structural properties” Applied Surface Science 253, pp. 376-380 (2006).
Trumbore et al. “Solid solubilities of aluminum and gallium in germanium,” J. of Physics and Chemistry of Solids, vol. 11, Issues 3-4, 239-240 (1959).
Tseng et al., “Etch Properties of Resists Modified by Sequential Infiltration Synthesis,” American Vacuum Society (2011).
Tseng et al., “Enhanced Block Copolymer Lithography Using Sequntial Infiltration Synthesis,” Journal of Physical Chemistry, vol. 5, 17725-17729 (2011).
Ueda et al. “Enhanced Sidewall Grown (ESG) process: towards PEALD with conformality above 100%,” Extended Abstracts of the 2011 International Conference on Solid State Devices and Materials, Nagoya, pp. 34-35 (2011).
U.S. Appl. No. 60/394,086, filed Jul. 3, 2002 in the name of Jacques Schmitt, and entitled “ALD on a Rotary Susceptor” pp. 1-23 (2002).
U.S. Appl. No. 62/274,238, filed Jan. 1, 2016 in the names of Alexander S. Polyak et al., and entitled “Non-Metallic Thermal CVD/ALD Gas Injector and Purge System” pp. 1-63 (2016).
U.S. Appl. No. 62/293,897, filed Feb. 11, 2016 in the names of Bernardo Donoso et al., and entitled “Vapor Based Site-Isolated Processing Systems and Methods” pp. 1-51 (2016).
Vallat et al. “Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme” Journal of Vacuum Science & Technology A 37(2), 12 pages (2019).
Vallat et al. “Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps” Journal of Vacuum Science & Technology A 35(1), 7 pages (2016).
Varma, et al., “Effect of Metal Halides on Thermal, Mechanical, and Electrical Properties of Polypyromelitimide Films,” Journal of Applied Polymer Science, vol. 32, pp. 3987-4000, (1986).
Vasilev, “Borophosphosilicate Glass Films in Silicon Microelectronics, Part 1: Chemical Vapor Deposition, Composition, and Properties” Russian Microelectronics, vol. 33, No. 5, pp. 271-284 (2004).
Vayrynen et al. “Photo-Assisted Atomic Layer Deposition and Chemical Vapor Deposition of Metal and Metal Oxide Thin Films” Thesis, Oct. 2015, 146 pages (2005).
Venkatesan et al. “A rugged lead-ruthenate pyrochlore membrane catalyst for highly seelctive oxidation of alcohols” J. Molecular Catalysis A: Chemical 250, pp. 87-93 (2006).
Voltaix, “Meterial Safety Data Sheet for: Trisilylamine”, pp. 1-8, (2014).
Wang et al., “Tritertiarybutylaluminum as an Organometallic Source for Epitaxial Growth of AlGaSb,” Appl. Phys. Lett. 67 (10), Sep. 4, pp. 1384-1386, American Institute of Physics (1995).
Wirths et al. “Low temperature RPCVD epitaxial growth of SilxGex using Si2H6 and Ge2H6” Solid-State Electronics, 88, pp. 2-9 (2013).
Wirths et al., “SiGeSn Growth tudies Using Reduced Pressure Chemical Vapor Deposition Towards Optoeleconic Applications,” This Soid Films, 557, 183-187 (2014).
Xiao “Introduction to Semiconductor Manufacturing Technology” SPIE Press, ISBN 978-0-08194-9092-6, pp. 237-245 (2012).
Xing et al., “Ising Superconductivity and Quantum Phase Transition in Macro-Size Monolayer NbSe2” Nano. Lett. 17, pp. 6802-6807 (2017).
Xu et al., “14NM Metal Gate Film Stack Development and Challenges,” Smic et al. (2016).
Xu et al., “Contacts between Two- and Three-Dimensional Materials: Ochmic, Schottky, and p—n Heterojunctions” ACS Nano 10, pp. 4895-4919 (2016).
Yanguas-Gil et al. “Modulation of the Growth Per Cycle in Atomic Layer Deposition Using Reversible Surface Functionalization” Chemistry of Materials, 25, pp. 4849-4860 (2013).
Yoshida, et al., Threshold Voltage Tuning for 10NM and Beyond CMOS Integration, Solid State Technology, 57(7): 23-25 (2014).
Yu et al., “Modulation of the Ni FUSI Workfunction by Yb Doping: from Midgap to N-Type Band-Edge,” 4 pages, IEEE 0-7803-9269-8/05 (2005).
Yuan et al. “A Bifunctional Air Electrode Catalyzed by Lead Ruthenate for Li-Air Batteries” ECS Transactions 69(19), pp. 23-32 (2015).
Yuan et al., “Facile Synthesis of Single Crystal Vanadium Disulfide Nanosheets by Chemical Vapor Deposition for Efficient Hydrogen Evolution Reaction” Adv. Mater. 27, pp. 5605-5609 (2015).
Yun et al., “Behavior of Various Organosilicon Molecules in PECVD Processes for Hydrocarbon-Doped Silicon Oxide Films,” Solid State Phenomena, vol. 124-126, 347-350 (2007).
Yun et al., “Comparison of Atomic Scale Etching of Poly-Si in Inductively Coupled Ar and He Plasmas”, Korean Journal of Chemical Engineering, vol. 24, 670-673 (2007).
Yun et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, 1006-1014 (2011).
Yun et al., “Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition,” Electrochemical and Solid State Letters, 8(11) F47-F50 (2005).
Yushin et al., “Carbon-Derived Carbon,” Department of Materials Science and Engineering, Taylor & Francis Group, LLC (2006).
Zhao et al. “Surface Chemistry of Thermal Dry Etching of Cobalt Thin Films Using Hexafluoroacetylacetone (hfacH)” Appl Surf Sci. 455, pp. 438-445 (2018).
Zhao et al. “Thermal and Plasma-Enhanced Atomic Layer Deposition of Yttrium Oxide Films and the Properties of Water Wettability” Appl. Mater. Interfac. 12(2), 9 pages (2019).
Zhou et al., “A library of atomically thin metal chalcogenides” Nature 556, pp. 355-361 (2018).
Chemistry Stack Exchange, “Why is CF4 Non-Polar and CHF Polar,” https://chemistry.stackexchange.com/questions/31604/why-is-cf4-non-polar-and-chf3-polar, (2015).
Crystal IS “Application Note: Using UV Reflective Materials to Maximize Disinfection”; AN011; Jun. 16, 2016.
IPS Water Heater Pan Adapter Kit, Nov. 1, 2015, [online], [site visited Dec. 4, 2019]; URL: http://es.ipscorp.com/watertite/protectivesystem/whpanadapter (2015).
“Polyurethane_HF”; webpage; no date. Cited in Notice of References dated May 18, 2017 in U.S. Appl. No. 14/884,695.
Rhenium trioxide; https://en.wikipedia.org/wiki/Rhenium_trioxide [online]; last edited on Feb. 18, 2017.
Scientific and Technical Information Center EIC 2800 Search Report dated Feb. 16, 2012.
Alen et al. “Atomic layer deposition of molybdenum nitride thin films for cu metallizations” J of the Electrochemical Society, 152(5) G361-G366 (2005).
Best et al. Complex Halides of the Transition Metals. 24.1 Reactions of Dimeric Molybdenum (II) Halide Complexes Containing Strong Metal-Metal Bonds with Bidentate Tertiary Phosphines and.
Blakeney et al. “Atomic Layer Deposition of Aluminum Metal Films Using a Thermally Stable Aluminum Hydride Reducing Agent” Chem. Mater., 30, pp. 1844-1848 (2018).
Buitrago et al. “SnOx high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography” Abstract, 1 page (2016).
Buitrago et al. “State-of-the-art EUV materials and processes for the 7 nm node and beyond” Proc of SPIE, vol. 10143, 8 pages (2017).
Cheng et al. “Improved High-Temperature Leakage in High-Density MIM Capcitors by Using a TiLaO Dielectric and an Ir Electrode” IEEE Electron Device Letters, vol. 28, No. 12, 3 pages (2007).
Firestop Support Plate, Type B, 6 In Apr. 4, 2012, Amazon. Com, May 10, 2021, https://www.amazon.com/AmeriVent-Firestop-Support-Plate-Type/dp/B007R7W951/ (2012).
Gertsch et al. “SF4 as the Fluorination Reactant for Al2O3 and VO2 Thermal Atomic Layer Etching” Chem. Mater., 31, pp. 3624-3635 (2019).
Han et al. “Synthesis and characterization of novel zinc precursors for ZnO thin film deposition by atomic layer deposition” Dalton Transactions 49.14 (2020): 4306-4314.
Hayashi et al. “2,2-Difluoro-1,3-dimethylimidazolidine (DFI). A new fluorinating agent” Chem. Commun. pp. 1618-1619 (2002).
Imai et al. “Energetic stability and magnetic moment of tri-, tetra-, and octa-ferromagnetic element nitrides predicted by first-principle calculations” J of Alloys and Compounds, vol. 611, 19 pages (2019).
Jacoby “Chemical deposition methods to the rescue” Cen. Acs. Org., pp. 29-32 (2018).
Jiang et al. “Sensitizer for EUV Chemically Amplified Resist: Metal versus Halogen” J of Photopolymer Science and Technology, vol. 32, No. 1, pp. 21-25 (2019).
Kang et al. “Optical Performance of Extreme Ultraviolet Lithography Mask with an Indium Tin Oxide Absorber” J of Nanoscience and Nanotechnology, vol. 12, pp. 3330-3333 (2012).
Kim et al. “Atomic layer deposition of transition metals for silicide contact formation: Growth characteristics and silicidation” Microelectronic Engineering, 106, pp. 69-75 (2013).
Lim et al. “Atomic layer deposition of transition metals” Nature Materials, vol. 2 pp. 749-754 (2003).
Maina et al. “Atomic layer deposition of transition metal films and nanostructures for electronic and catalytic applications” Critical Reviews in Solid State and Materials Sciences, Abstract, 2 pages (2020).
Miikkulainen et al. “Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends” Journal of Applied Physics, 112, 102 pages (2013).
Niskanen et al. “Radical-enhanced atomic layer deposition of metallic copper thin films” Journal of the Electrochemical Society 152(1) pages, G25-G28 (2004).
O'Hanlon “A User's Guide to Vacuum Technology” Third Edition, Chapter 19, pp. 359-378 (2003).
Ovanesyan et al. “Atomic Layer Deposition of SiCxNy Using Si2C16 and CH3NH2 Plasma” Chem. Mater. 2017, 29, pp. 6269-6278 (2017).
Park et al. “Superfilling CVD of copper using a catalytic surfactant” Proceedings of the IEEE 2001 International Interconnect Technology Conference, 3 pages (2001).
Petrov et al. “1,1,2,2-Tetrafluoroethyl-N,N-dimethylamine: a new selective fluorinating agent” J of Fluorine Chemistry, 109, pp. 25-31 (2001).
Popov et al. “Atomic Layer Deposition of PbI2 Thin Films” Chem. Mater. 31, pp. 1101-1109 (2019).
Popovici et al. “High-performance (EOT<0.4nm, Jg˜10-7 A/cm2) ALD-deposited Ru\SrTiO3 stack for next generations DRAM pillar capacitor” 2018 IEEE International Electron Devices Meeting (IEDM), 4 pages (2018).
Puurunen “Surface Chemistry of Atomic Layer Depostion: A Case Study for the Trimethylaluminum/Water Process” Journal of Applied Physics, 97, 55 pages (2005).
Rahemi et al. “Variation in electron work function with temperature and its effect on the Young's modulus of metals” Scripta Materialia, 99, pp. 41-44 (2015).
SciFinder Search Results on hydrazido-based precursor for boron nitride films, search conducted Nov. 5, 2020, 5 pages (2020).
Shiba et al. “Stable yttrium oxyfluoride used in plasma process chamber” J. Vac. Sci. Technol. A, 35(2), 6 pages (2017).
Shigemoto et al. “Thermal cleaning of silicon nitride with fluorine and additive mixture” 1 page (2007).
Takaoka et al. “F-Propene-Dialkylamine Reaction Products as Fluorinating Agents” Bulletin of the Chemical Socity of Japan, vol. 52 (11), pp. 3377-3380 (1979).
Tsoutsou et al. “Atomic layer deposition of LaxZr1-xO2-d (x=0.25) high-k dielectrics for advanced gate stacks” Applied Physics Letters, 94, 3 pages (2009).
U.S. Appl. No. 60/545,181, filed Feb. 13, 2004 in the names of Matthew G. Goodman et al., and entitled “Forced Flow Susceptor with Exit Holes and Veins for Improvided Process” pp. 1-15 (2004).
U.S. Appl. No. 60/591,258, filed Jul. 26, 2004 in the names of Jeroen Stoutyesdijk et al., and entitled “Susceptor Support for Eliminating Backside Nodules” pp. 1-71 (2004).
U.S. Appl. No. 62/504,470, filed May 10, 2017 in the names of Jennifer Y. Sun et al., and entitled “Metal-Oxy-Fluoride Films for Chamber Components” pp. 1-82 (2017).
Van Asselt et al. “New Palladium Complexes of Cis-Fixed Bidentate Nitrogen Ligands as Catalysts for Carbon-Carbon Bond Formation” Organometallics, 11, pp. 1999-2001 (1992).
Van Asselt et al. “On the Mechanism of Formation of Homocoupled Products in the Carbon-Carbon Cross-Coupling Reaction Catalyzed by Palladium Complexes Containing Rigid Bidentate Nitrogen Ligands” Organometallics, 13, pp. 1972-1980 (1994).
Vayrynen et al. “Atomic Layer Deposition of Nickel Nitride Thin Films Using NiCL2 (TMPDA) and Tert-Butylhydrazine as Precursors” Phy. Status Solidi A, 216, 9 pages (2019).
Vesters et al. “Sensitizers in EUV Chemically Amplified Resist: Mechanism of sensitivity improvement” Proc. SPIE 10583 Extreme Ultraviolet (EUV) Lithography IX, 1058307, 11 pages (2018).
Wilklow-Marnell et al. “First-row transitional-metal oxalate resists for EUV” J. Micro/Nanolith. MEMS MOEMS 17(4) Oct.-Dec. 2018, 9 pages (2018).
Zhang et al. “Mechanical Stability of Air-gap Interconnects” Proc. Future Fab International, pp. 81-87 (2008).
Zientara et al. Journal of the European Ceramic Society, 27, Abstract, 1 page (2007).
Related Publications (1)
Number Date Country
20230126231 A1 Apr 2023 US
Provisional Applications (1)
Number Date Country
62808251 Feb 2019 US
Divisions (1)
Number Date Country
Parent 16792571 Feb 2020 US
Child 17544982 US
Continuations (1)
Number Date Country
Parent 17544982 Dec 2021 US
Child 18084789 US