Method for fabricating a transistor with reduced junction leakage current

Information

  • Patent Grant
  • 9368624
  • Patent Number
    9,368,624
  • Date Filed
    Friday, July 24, 2015
    8 years ago
  • Date Issued
    Tuesday, June 14, 2016
    8 years ago
Abstract
A transistor and method of fabrication thereof includes a screening layer formed at least in part in the semiconductor substrate beneath a channel layer and a gate stack, the gate stack including spacer structures on either side of the gate stack. The transistor includes a shallow lightly doped drain region in the channel layer and a deeply lightly doped drain region at the depth relative to the bottom of the screening layer for reducing junction leakage current. A compensation layer may also be included to prevent loss of back gate control.
Description
FIELD OF THE INVENTION

Wafers and die manufactured with CMOS compatible die and having high uniformity screen and epitaxial layers are described.


BACKGROUND

Even though electronic devices require matching transistors, in reality it is impossible to manufacture as few as two completely identical transistors, especially for nanometer scale transistors. Because of quantum mechanical effects and the randomness of transistor dopant arrangement, every transistor on a die differs slightly from each other, even if they are spaced only a few nanometers apart. This problem is even more acute when trying to replicate performance of widely spaced transistors that may be tens of thousands of nanometers apart on the same die, transistors on neighboring die in the same wafer, transistors on different wafers, or even transistors manufactured at different fabricating facilities. Variations can occur due to process differences resulting in line edge variation, to other unwanted patterning effects that change channel, gate, or spacer size, to effective work function variation due to composition or crystal formation differences in the gate; or at the atomic scale, to random dopant fluctuations in quantity and spatial positioning of individual dopants in or near the transistor channel.


Transistor matching issues generally increase in significance as transistors are decreased in size. For typical transistors, transistor width and length mismatch typically increases inversely proportional according to the square root of the transistor area. For certain transistor attributes such as off-state current or threshold voltage variation, the matching variation in nanometer scale transistors can be great enough to create an unacceptable die, or result in high device failure rates.





BRIEF DESCRIPTION OF THE DRAWINGS

For a complete understanding of the following disclosure, reference is now made to the following description taken in conjunction with the attached drawings of embodiments, wherein like reference numerals represent like parts, in which:



FIG. 1 illustrates a three dimensional cross section of two adjacent transistors;



FIG. 2 illustrates an inherent uncertainty in implant placement of dopant atoms in the respective channels of each transistor;



FIG. 3 illustrates dopant placement along the channel with representative graphs of dopant concentration and placement;



FIG. 4 illustrates a transistor formed on a well incorporating a heavily doped screening layer that completely extends under a gate area;



FIG. 5 illustrates an epitaxial transistor having a retrograde dopant profile;



FIG. 6 illustrates a similarly sized transistor with an atomically uniform screening layer;



FIG. 7 illustrates etch steps that thin portions of the epitaxial layer or, through well proximity effects, allow an increase or decrease in dopant layer concentration near isolation structures;



FIG. 8 illustrates constant thickness epitaxial layers with respect to a screening layer and a shallow trench isolation (STI) formed post-well implant to limit secondary dopant scattering;



FIGS. 9A-9D illustrate an example fabrication process for reducing junction leakage current in a transistor device;



FIG. 10 illustrates a comparison of a structure without a dLDD region, a structure with a dLDD region having a first dose and a structure with a dLDD region 910 having a second dose;



FIG. 11 illustrates the effect on leakage current for various implant conditions of a dLDD region;



FIG. 12 illustrates the effect that a dLDD region has on DIBL and σVt;



FIG. 13 illustrates a phosphorous grading implant for a transistor structure;



FIG. 14 illustrates a comparison of a structure with different doses of Phosphorous;



FIG. 15 illustrates a comparison of junction leakage current for different doses of Phosphorous;



FIG. 16 illustrates a comparison of DIBL and σVt at different doses and energies for Phosphorous;



FIG. 17 illustrates a junction leakage current comparison of the dLDD approach and the Phosphorous grading approach to a reference that does not include these approaches;



FIG. 18 illustrates a comparison of DIBL and σVt for each approach;



FIGS. 19A-19C illustrate the steps for a distributed source/drain implant process;



FIG. 20 illustrates a reduction in junction abruptness as a result of different thicknesses for a second offset spacer;



FIG. 21 illustrates a comparison of threshold voltage and junction leakage for different thicknesses of a second offset spacer and different doses of Phosphorous;



FIG. 22 illustrates a comparison of DIBL and σVt for different thicknesses of a second offset spacer;



FIG. 23 illustrates a deep implant for a compensation layer to help control out-diffusion from the source and drain.





DETAILED DESCRIPTION

Digital and analog transistors have been available in decreasing sizes over time, with transistor channel lengths that formerly were tens of thousands of nanometers being reduced a thousand-fold to a hundred nanometers or less in length. However, because of transistor variations maintaining matching electrical characteristics for such downwardly scaled transistors is difficult at nanometer scales, and can even be more difficult for supporting circuits requiring highly matched transconductance or threshold voltage.


As seen in FIG. 1, illustrating a three dimensional cartoon cross section of two adjacent transistors, an ideally matched 14 nanometer node CMOS FET transistor pair 100 separated by shallow trench isolation 130 includes two channels 110 and 112 formed from a semiconductor crystalline lattice (typically silicon or silicon-germanium). The channels 110 and 112 incorporate a small number of positively or negatively electrically charged dopant atoms in the lattice such as boron or arsenic. In addition, dopants can include deliberately implanted, but uncharged, diffusion mitigation atoms such as carbon, or various contaminant atoms that are either in the crystal lattice or in inter-lattice sites. For a transistor created to have a fourteen (14) nanometer gate length, there might be as few as 200 dopant atoms in a channel. Ideally, each transistor would have identical numbers and type of dopant atoms in the channel, and placement of the dopant atoms would be the same. However, in practice, as seen in two dimensional cartoon form in FIG. 2, the inherent uncertainty in implant placement of dopant atoms 120 and 122 in the respective channels of each transistor can result, for example, in distinctly differing chains or clusters of dopants, or significant gaps in dopant placement, all of which lead to variations in transistor properties. As will be appreciated, such fluctuations in dopant number and placement are a major contributor to transistor variation since depth of a depletion zone created by a gate induced electric field can substantially vary in accordance with dopant distribution. In addition to electrical effects relating to channel formation, presence of carbon or other uncharged atoms can interfere with charged carrier movement between source and drain of the transistor, providing variations in carrier mobility and transconductance.


One way of minimizing such transistor variation and mismatch is to greatly reduce or effectively eliminate dopants in the channel. For example, an undoped epitaxial layer capable of acting as a channel can be selectively grown, with controlled ion implantation to form a channel. Unfortunately, while this can reduce random dopant fluctuations, such substantially undoped channels do not eliminate all problems associated with dopant variation. Instead, as seen in FIG. 3, which is a cartoon representing dopant placement along the channel, with representative graphs of dopant concentration and placement, variation in placement and amount of dopants around the channel will occur. Such dopant variations can occur because of out-diffusion from the source or drain, variations in dopant implant depth along the channel, and variations in lateral and vertical dopant profiles, all leading to variations in transistor characteristics. In addition, halo implants are often used to create a localized, graded dopant distribution near a transistor source and drain that extends into the channel. Halo implants are often required by transistor designers who want to reduce unwanted source/drain leakage conduction or “punch through” current. In a manner similar to threshold voltage implants, conventional halo implants tend to introduce dopant species into unwanted areas through random dopant fluctuation caused by a variety of factors including scattering effects, crystal lattice channeling effects, lateral straggle, secondary diffusion, or simple variability in halo dopant energies and implantation angle.


To provide a range of highly matched transistor device types as seen in FIG. 4, a transistor 200 formed on a well can incorporate a heavily doped, defined thickness, and highly doped screening layer 212 that completely extends in the lateral direction under a gate area. In operation, a gate induced electric field and consequent depletion zone extends to the screening layer. Preferably, an undoped blanket epitaxial layer grown on the wafer to form a layer that extends across multiple transistors forms the undoped channel. Alternatively, a selective epitaxial channel layer is individually grown on this screening layer. In all instances, efforts are made to maintain the channel layer as undoped, unless the transistor design calls for a slightly doped channel, usually in order to achieve a higher threshold voltage. Unwanted diffusion is minimized by use of processing temperatures lower than 900 degrees or, or in addition to, Diffusion mitigation carbon caps can be included in the top layers of the screen to avoid the dopants from the screen from diffusing. Halo or threshold implants are minimized or absent. Since there is minimal dopant presence in the channel, there is minimal variation in dopant positioning or concentration in the channel, and transistor channels are well matched. However, this does not completely eliminate the problem of transistor mismatch, since epitaxial layer thickness can vary under the gate, causing substantial differences in threshold voltage or other transistor performance characteristics. As seen in FIG. 4, to further reduce transistor mismatch, the screening layer 212 is maintained as an atomically uniform layer that extends a precise distance 216 from a gate dielectric 208. Screen layer 212 extends laterally across the channel to abut the source and drain 219, 220. Preferably, the screen layer 212 is positioned to be either just underneath and abutting the bottom of or is located at approximately the bottom ⅓ to ¼ of the lightly doped drain extensions 221, 222 and extending downward to form a preselected thickness wherein the screen layer 212 approximately ends a distance above the bottom horizontal portion of the source and drain 219, 220. The targeted thickness for the screen layer 212 depends on the device design in terms of requirements for threshold voltage and junction leakage, among other things, and to what extent a separate anti-punch through region (not shown) is used. The precise depth and thickness of the formed epitaxial channel layer is maintained over at least 80% of the gate dielectric area, and depth and thickness may slightly increase or decrease along the edge of the gate dielectric due to well proximity or etch effects. Typically, adjacent transistors will have a gate dielectric to screening layer thickness that only varies within a one-half nanometer range, while more distant transistors on the same die will still have a channel layer thickness that varies within one nanometer. Additionally, the screen layer can have a dopant concentration between 1×1018 and 1×1019 atoms per cubic centimeter or higher concentration, and further has a defined thickness of between five (5) and twenty (20) nanometers that varies no more than three (3) nanometers. The highly doped screen layer, together with the anti-punchthrough region (if present), creates a strong body coefficient, making the transistor amenable to be back-biased by electrically connecting a body tap to the screen layer. The back-biasing capability afforded by the highly doped screen layer enables greater flexibility for chip designs. Maintaining a controlled thickness 218 of the screening layer 212 additionally helps to match leakage current and body bias related performance factors. The tight control of screening layer positioning results in tight control of the depletion zone when the transistor gate is activated. For comparison, as seen in two dimensional cross section embodied in FIG. 5, a conventional transistor 300 having a retrograde dopant profile that is conventionally formed using buried implants may have an irregular depletion zone 304 due to varying concentrations and position of dopants. As seen in FIG. 6, similarly sized transistor 302 with an atomically uniform screening layer 312 will have a uniform depletion zone 314 set by the screening layer 312, and with minimal edge effects.


In certain embodiments, forming a blanket epitaxial layer can further include steps related to implanting or growing (via incorporation of dopants or diffusion mitigation atoms such as carbon) various channel dopant profiles deposited on a wafer over the screening layer. These profiles can extend across multiple die and transistor die blocks to give transistors with highly uniform three-dimensional structures. Such a blanket epitaxial layer, particularly after all well implants are done, helps to reduce upward migration of dopants emplaced during the well implants (to form the screening and other doped layers). In other embodiments, lightly doped Vt adjustment layers can be formed in but after formation of the epitaxial layer, allowing further adjustment of various transistor characteristics, including threshold voltage and leakage current, particularly in the context of forming a plurality of devices having different threshold voltage and other characteristics, for instance to create a SOC.


Transistors that contain the foregoing screening layer transistor structures are referred to herein as deeply depleted channel field effect transistors (DDC-FETs). DDC-FETs have a number of advantages in terms of electrical performance over conventional FETs at the same technology node. These advantages include, but are not in any way limited to, reduced subthreshold conduction (i.e., reduced off-state leakage current). Because modern integrated circuits typically include many millions of transistors, reduced off-state leakage current in these transistors can provide many benefits including a longer battery-life for a mobile device. DDC-FETs are also advantageous in terms of reduced threshold voltage variation across a given region of an integrated circuit. This type of threshold voltage variation is referred to as sigma Vt (σVt). Circuit designers recognize the many well-known benefits of reduced variation (or increased uniformity) in the electrical characteristics of the devices that are available for them to incorporate into their designs. By way of example and not limitation, the use of devices with a smaller variation in electrical characteristics can provide circuit designs with improved performance and allow the usage of lower supply voltage for the circuits while maintaining yield targets. Embodiments of various DDC-FET transistor structures and manufacturing processes suitable for use in the applications and processes according to the present disclosure are more completely described in U.S. Pat. No. 8,273,617 titled Electronic Devices and Systems, and Methods for Making and Using the Same, U.S. patent application Ser. No. 12/971,884 titled Low Power Semiconductor Transistor Structure and Method of Fabrication Thereof, U.S. patent application Ser. No. 12/971,955 titled Transistor with Threshold Voltage Set Notch and Method of Fabrication Thereof, and U.S. patent application Ser. No. 12/895,785 titled Advanced Transistors With Threshold Voltage Set Dopant Structures, the disclosures of which are hereby incorporated by reference in their entirety.


One exemplary process for forming a transistor begins at the well formation, which may be one of many different processes according to different embodiments and examples. Well formation is preferably before but may be after STI (shallow trench isolation) formation, depending on the application and results desired. Boron (B), indium (I) or other acceptor dopant materials may be used for P-type doping, and arsenic (As), antimony (Sb) or phosphorous (P) and other donor dopant of materials may be used for N-type doping. A germanium (Ge) followed by carbon (C) implant or in-situ doped carbon epi or cold or room temperature carbon implant may optionally be performed to reduce dopant migration. Well implants may include sequential implant, and/or epitaxial growth and implant of punch through suppression regions, with screening layers having a defined thickness and higher dopant density than the punch through suppression region. Threshold voltage set layers can be typically formed by implant or diffusion of dopants prior to or into a previously grown epitaxial layer formed on the already-doped screening region.


In some embodiments, the well formation may include a beam line implant of Ge/C followed by or done after B (for N-FET), As (for P-FET), or Sb (for P-FET) in multiple steps so as to form distinct regions for screen and threshold voltage (and anti-punchthrough, if any) followed by an epitaxial (EPI) pre-clean process, and followed finally by non-selective blanket EPI deposition. Alternatively, the well may be formed using plasma implants of the same aforementioned materials, followed by an EPI pre-clean, then finally a non-selective (blanket) EPI deposition. As yet another alternative, well formation may simply include well implants, followed by in-situ doped EPI (which may be selective or blanket) to form the screening layer and other doped regions. Embodiments described herein allow for any one of a number of devices configured on a common substrate with different well structures and according to different parameters. Shallow trench isolation (STI) formation, which, again, may occur before or after well formation, may include a low temperature trench sacrificial oxide (TSOX) liner at a temperature lower than 900 degrees C. A gate stack may be formed or otherwise constructed in a number of different ways, from different materials, and of different work functions. One option is a gate-first process that includes SiON/Metal/Poly and/or SiON/Poly, followed by high-k/Metal Gate. Another option, a gate-last process includes a high-k/metal gate stack wherein the gate stack can either be formed with “high-k first-Metal gate last” flow or “high-k last-Metal gate last” flow. Yet another option is a metal gate that includes a tunable range of work functions depending on the device construction. Next, Source/Drain extensions (lightly doped drain (LDD)) may be implanted, or optionally may not be implanted depending on the application. The dimensions of the extensions can be varied as required, and will depend in part on whether gate spacers are used and requirements for the gate width. In one option, there may be no tip (or LDD) implant. Next, the source and drain contacts are formed. In some embodiments, the PMOS source and drain is created by way of selective epi. In other embodiments, both PMOS and NMOS source and drain may be formed by selective epi as performance enhancers for creating strained channels and/or reduction of contact resistance.


As illustrated in FIG. 7, in certain embodiments etch steps can thin portions of the epitaxial layer, or preferably, through well proximity effects, allow an increase or decrease in dopant layer concentration near isolation structures. Well proximity effects are described in “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 9, SEPTEMBER 2003. To prevent such implant related effects, use of a screening layer covered by blanket epitaxial layers can be used, along with post-well implant trench isolation eliminating much of the dopant scattering attributable to resist or isolation structures. Such structures are illustrated in cartoon form as FIG. 8, which shows constant thickness epitaxial layers with respect to a screening layer, and a shallow trench isolation (STI) formed post-well implant to limit secondary dopant scattering. Such structures are described in U.S. patent application Ser. No. 13/469,583 titled “Transistor with Reduced Scattered Dopants” the disclosure of which is herein incorporated by reference.


Though shown with a channel layer and screening layer underneath the gate, the transistor structure may be formed as a three layer stack with a screening layer, a threshold voltage control layer, and a channel layer. The threshold voltage control layer may be selectively doped to provide threshold voltage control for the transistor device.


For operation, the transistor device has a heavily doped screening layer preferably with a sharp doping profile to provide extremely low Drain Induced Barrier Lowering (DIBL) and threshold voltage variation between adjacent transistors (σVt). However, as a general matter, the higher dopant concentration of screen layer 212 with a sharper profile on the bottom can result in a higher junction leakage. This characteristic, while not a problem in most devices including regular or low Vt devices, for those device specifications that require ultra-low leakage current, additional techniques may be desired to help to minimize junction leakage. Described below are techniques that may be selectively or comprehensively integrated to achieve lower junction leakage current when called for in the device design. A typical application for the below techniques is for High Vt and Ultra-High Vt devices using a highly doped screening layer.



FIGS. 9A-9D show an example fabrication process for reducing junction leakage current in the transistor device. In FIG. 9A, the three layer stack is formed underneath a gate 900. The three layer stack includes a screening layer 902, a threshold voltage control layer 904, and a channel layer 906. Screening layer 902 may have a dopant concentration between 1×1018 and 1×1020 atoms/cm3 with a thickness preferably between 5 and 20 nanometers. Threshold voltage control layer 904 has a dopant concentration less than screening layer 902, for example between 5×1017 and 1×1018 atoms/cm3 with a thickness preferably between 5 and 20 nanometers. For a NMOS device, screening layer 902 and threshold voltage control layer 904 may be formed with boron or other acceptor dopant materials, and may further include a carbon-doped region formed using in-situ epi or, if implanted, using a cold carbon implant or by first using a germanium pre-amorphization implant, in order to form a barrier to inhibit the migration of boron dopant atoms. Channel layer 906 is an undoped epitaxial layer formation with a thickness of 5 to 25 nanometers. An optional anti-punchthrough layer 907 may underlie the three layer stack of screening layer 902, threshold voltage control layer 904, and undoped channel layer 906.


In FIG. 9B, in an embodiment, a first offset spacer 908 is formed on the vertical sides of gate 900. A deep lightly doped drain (dLDD) region 910 is implanted into the structure and targeted to a preselected depth, which may be at a depth of the screening layer 902. The purpose of dLDD region 910 is to further grade the interface between screening layer 902 and the subsequently formed source and drain regions beyond benefits provided with a regular LDD. An example condition for forming dLDD region 910 may include a dose of 5×1013 to 1.5×1014 atoms/cm2 at an energy of 10 to 14 keV. Arsenic may be used as the material for an NMOS dLDD region 910. After formation of dLDD region 910, a shallow lightly doped drain (sLDD) region 912 is implanted into the structure, preferably using conventional implant methods.


IN FIG. 9C, a second offset spacer 914 is formed preferably on the first offset spacer 908. A source region 916 and a drain region 918 are preferably formed next. FIG. 9D illustrates an exemplary location of dLDD region 910 in relation to screening layer 902, source region 916, and drain region 918.



FIG. 10 shows a comparison of a structure without a dLDD region 910, a structure with a dLDD region having a dose of 5×1013 atoms/cm2 and a structure with a dLDD region 910 having a dose of 1×1014 atoms/cm2 in an embodiment. The comparison shows that a reduction in junction abruptness is achieved through use of dLDD region 910. By reducing the junction abruptness of screening layer 902 between source region 916 and drain region 918, a reduction in junction leakage current occurs and thus lowering the band-to-band tunneling rate of the device.



FIG. 11 shows the effect on leakage current for various implant conditions of dLDD region 910 in an embodiment. Generally, increasing the dose for dLDD region 910 will reduce the junction abruptness at the source/drain interfaces to screening layer 902. With reduction in junction abruptness afforded by dLDD, junction leakage currents can be lowered for devices that include dLDD region 910.



FIG. 12 shows the effect that dLDD region 910 has on DIBL and σVt in an embodiment. DIBL and σVt begin to degrade with increasing doses for dLDD region 910. However, DIBL and σVt only slightly increase for doses up to 1×1014 atoms/cm2. This minimal increase is more than offset by the decrease in junction leakage current at this dose. As a result, one exemplary nominal condition for dLDD region 910 for lower junction leakage current versus short channel control is implanting Arsenic at a dose of 1×1014 atoms/cm2 with an energy of 14 keV in an embodiment.


The use of dLDD region 910 provides a direct way to reduce junction leakage current when implanted directly to the interface of screening layer 902 with source region 916 and drain region 918. A ten times reduction in junction leakage current is achieved using dLDD region 910. The benefits of transistor operation in the embodiment discussed above are obtained by only adding a single implant step to the fabrication process.


A phosphorous grading technique may be implemented to minimize junction leakage current by grading the interface between the screening layer and the source/drain and sLDD regions with a Phosphorous implant. FIG. 13 shows an exemplary phosphorous grading implant for the transistor structure. A grading layer 1301 is implanted at the targeted depth of an NMOS Boron screening layer 1300 prior to source/drain region 1304 implant, though the grading layer 1301 can be formed after the source/drain region 1304 implant is performed. Grading layer may be formed with a high dose of Phosphorous. Instead of implanting Arsenic for the dLDD region before the implant for the sLDD region, Phosphorous is implanted after formation of a sLDD region 1306 and after the second spacer which serves as a mask for forming the source/drain. The Phosphorous implant is physically farther away from screening layer 1302 than dLDD region 910 is from screening layer 902 in the dLDD approach. In effect, Phosphorous source/drain grading provides an indirect way to reduce junction leakage current in that it relies on implanted Phosphorous to diffuse towards the inner edges of source/drain region 1304 and screening layer 1302 interface.



FIG. 14 shows a comparison of a structure with different doses of Phosphorous at 2×1013 atoms/cm2, 7×1013 atoms/cm2, and 1×1014 atoms/cm2. The comparison shows that a reduction in junction abruptness between the source/drain and the screening layer is achieved at higher doses of Phosphorous. In the embodiment, by reducing the junction abruptness of the screening layer 1302 between source/drain regions 1304, a reduction in junction leakage current occurs, thus lowering the band-to-band tunneling rate of the device.



FIG. 15 shows a comparison of junction leakage current for different doses of Phosphorous in an embodiment. Increasing the Phosphorous dose leads to a reduction in the junction leakage current. The decrease in junction leakage current is a result of the grading due to the enhanced lateral diffusion of the Phosphorous towards the screening layer 1302 and source/drain region 1304 interfaces.



FIG. 16 shows a comparison of DIBL and σVt at different doses and energies for Phosphorous, in an embodiment. As can be seen in the graph, if the implant energy is too high, a degradation in DIBL and σVt occurs, which can be due to subsurface punchthrough. As seen in the embodiment, an exemplary nominal Phosphorous grading condition for low junction leakage current and short channel control is approximately a dose of 2×10′4 atoms/cm2 at an energy of 15 keV.


The use of Phosphorous grading provides an indirect way to reduce junction leakage current when implanted prior to or after the source/drain region 1304 implant. The benefits of transistor operation discussed above are obtained by adding or modifying only a single implant step to the fabrication process.



FIG. 17 shows a comparison of junction leakage current reduction for a dLDD embodiment, a Phosphorous grading embodiment, and a reference embodiment that does not include these approaches. As can be seen, an eleven times reduction in junction leakage current can be achieved in the dLDD approach. A three times reduction in junction leakage current can be achieved in the Phosphorous grading approach. In another embodiment, the two approaches can be combined to provide further reduction in junction leakage current, as much as twenty-eight times compared to the reference. Thus, an additive effect occurs by performing both approaches.



FIG. 18 shows a comparison of DIBL and σVt for each embodiment. A slight degradation in DIBL and σVt occurs when combining the dLDD approach with the Phosphorous grading approach. However, the reduction in junction leakage current provided by one or both approaches may more than offset any increases in DIBL and σVt.


Another technique for reducing junction leakage current is to perform a distributed source/drain implant process. FIGS. 19A-19C show the steps in an embodiment of this process. In FIG. 19A, after formation of the three layer stack underneath a gate 1902, a first spacer 1904 is formed on the sidewalls of gate 1902. A sLDD region 1906 is implanted into the structure. In FIG. 19B, an intermediate spacer 1908 is formed on first spacer 1904. A dLDD region 1910 is implanted into the structure. In FIG. 19C, a second spacer 1912 is formed on intermediate spacer 1908. Alternatively, intermediate spacer 1908 may be etched back before forming second spacer 1912. After second spacer 1912 is formed, source/drain regions 1914 are implanted into the structure.


The overall thickness of the spacers in the final device structure may be set at 25 nanometers. First spacer 1904 may be formed with SiN at a thickness of 6 nanometers. Intermediate spacer 1908 may be formed with SiON at a thickness in a range of 0 to 19 nanometers. Second spacer 1912 may be formed with SiON to have the total spacer offset be 25 nanometers.


The sLDD region 1906 may include a Germanium pre-amorphizing implant followed by Carbon implant and Arsenic dopant. The dLDD region 1910 may be implanted with Phosphorous. The source/drain regions 1914 may be implanted using Arsenic.


The use of Phosphorous for the material of dLDD region 1910 allows for dLDD region 1910 to be physically closer to the screening layer than Arsenic due to its higher diffusivity. As discussed in the dLDD process and the Phosphorous grading process, the dLDD region 1910 is preferably implanted at a depth of the screening layer to influence the screening layer to source/drain junction abruptness.



FIG. 20 shows an example of a reduction in junction abruptness as a result of different thicknesses for intermediate spacer 1908. Junction leakage current reduces as intermediate spacer 1908 thickness gets smaller.



FIG. 21 shows a comparison of sub-threshold current and junction leakage current for different thicknesses of intermediate spacer 1908 and different doses of Phosphorous. Significant reduction in junction leakage current can be obtained through modulation of intermediate spacer 1908 thickness and Phosphorous dose. For intermediate spacer 1908 thicknesses down to 5 nanometers, there is no significant affect on sub-threshold current.



FIG. 22 shows a comparison of DIBL and σVt for different thicknesses of intermediate spacer 1908. For thicknesses of intermediate spacer 1908 down to 5 nanometers, only minor penalties in DIBL and σVt are seen, insignificant to the overall gain obtained through the reduction of junction leakage for the transistor device.


Table I provides a comparison of exemplary conditions in a two offset spacer implementation versus a three offset spacer implementation. For SRAM, High Vt and Ultra-High Vt devices, use of an intermediate offset spacer offers a large advantage in junction leakage current outweighing the small loss in DIBL and σVt.












TABLE I







Two Spacer Usage
Three Spacer Usage




















Intermediate
0 nm (total
7 nm to 12 nm



Spacer thickness
thickness of two
(assuming that




spacers is
when added to




typically 19 nm)
first spacer and





third spacer,





total spacer





thickness equals





19 nm)



Phosphorous Dose
2 × 1013 atoms/cm2
7 × 1013 atoms/cm2



Junction Leakage
284 ρA/μm
25.1 ρA/μm





(10x gain)



VTSAT
202 mV
190 mV



VTLIN
240 mV
232 mV



DIBL
38 mV
42 mV





(11% loss)



σVTLIN
3.24 mV
3.38 mV





(4.3% loss)










An additional problem arises for narrow-Z as well as short channel devices during fabrication when back gate control is lost. Silicon loss due to shallow trench isolation erosion that occurs during a typical fabrication process allows for the source/drain implants to go deeper than desired. The source/drain depletion areas may touch each other and body contact to the anti-punchthrough and/or screening layer is disconnected. Increasing the dose for an anti-punchthrough layer or the screening layer may offset this problem but junction leakage current is adversely higher.



FIG. 23 shows an exemplary solution to this problem. At the source/drain masking step, a deep implant is performed prior to the source/drain region 2302 implant. A p-type-forming deep implant dopant, such as Boron, is used for a NMOS device. A n-type-forming deep implant dopant, such as Phosphorous or Arsenic, is used for a PMOS device. This implant forms a compensation layer 2304 preferably in alignment with the source/drain regions, to prevent the source/drain implants from diffusing too deep or getting too close to each other. Compensation layer 2304 can be formed using ion implant using doses that are consistent with the doses selected for the source/drain implants, and energies that are either consistent with or slightly higher than the energies for the source/drain implants. Compensation layer 2304 implant is preferably angled to help ensure placement of compensation 2304 at the desired location. Other aspects of specific recipes are tailored using conventional methods for the given dopant species. Note that compensation layer 2304 can be used in the context of a selective epitaxially formed source and drain structure as well. Compensation layer 2304 should have a peak near the bottom of the source/drain region and form a barrier to prevent the diffusion of the source and drain. In addition to preventing the loss of back gate control, this process can be easily implemented as a single implant step with no additional masking step or thermal process required. With the compensation layer 2304 being relatively deep, there is no effect on the channel layer. Compensation layer 2304 has a deeper and slower profile having minimal impact on junction leakage current.


The dLDD technique, the Phosphorous grading technique, the intermediate spacer technique, and the compensation layer technique discussed above may be performed alone or in any combination with each other for fabrication of a transistor device.


The foregoing Detailed Description refers to accompanying drawings to illustrate exemplary embodiments consistent with the invention. References in the Detailed Description to “one exemplary embodiment,” “an illustrative embodiment,” “an exemplary embodiment,” and so on, indicate that the exemplary embodiment described may include a particular feature, structure, or characteristic, but every exemplary or illustrative embodiment may not necessarily include that particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same exemplary embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is within the knowledge of those skilled in the relevant art(s) to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.


The exemplary embodiments described herein are provided for illustrative purposes, and are not limiting. Other embodiments are possible, and modifications may be made to the exemplary embodiments within the spirit and scope of the invention. Therefore, the Detailed Description is not meant to limit the invention. Rather, the scope of the invention is defined only in accordance with the subjoined claims and their equivalents.


The foregoing Detailed Description of the exemplary embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge of those skilled in the relevant art(s), readily modify and/or adapt for various applications such exemplary embodiments, without undue experimentation, without departing from the spirit and scope of the invention. Therefore, such adaptations and modifications are intended to be within the meaning and plurality of equivalents of the exemplary embodiments based upon the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by those skilled in the relevant art(s) in light of the teachings herein.

Claims
  • 1. A method for fabricating a transistor with reduced junction leakage current, comprising: forming a layered stack, the layered stack including at least a doped screening layer and an undoped channel layer over the doped screening layer;forming a gate over the undoped channel layer, the gate having an effective gate length;forming a source region on one side of the gate and a drain region on another side of the gate; whereina depth of the doped screening layer is set a preselected distance below the gate such that the distance is a fraction of the effective gate length of the transistor, a thickness of the doped screening layer is preselected such that a bottom of the doped screening layer is above a bottom of the source region and a bottom of the drain region, the doped screen layer extends laterally to and contacts both the source region and the drain region, and further comprising: forming a shallow lightly doped drain region in the undoped channel layer on either side of the gate and extending a defined distance inward from an outer edge of the gate; andforming a deep lightly doped drain region on either side of the gate at a depth of the doped screening layer.
RELATED APPLICATION

This application is a continuation of U.S. application Ser. No. 14/534,595 filed Nov. 6, 2014 and entitled “High Uniformity Screen and Epitaxial Layers for CMOS Devices”, and is a divisional of U.S. application Ser. No. 13/725,152 filed Dec. 21, 2012, and now U.S. Pat. No. 8,883,600 and entitled “High Uniformity Screen and Epitaxial Layers for CMOS Devices”, which claims benefit of U.S. Provisional Application No. 61/579,142, the disclosure of each is hereby incorporated by reference herein.

US Referenced Citations (496)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh May 1977 A
4242691 Kotani Dec 1980 A
4276095 Beilstein, Jr. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen Dec 1985 A
4578128 Mundt Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl Aug 1988 A
4780748 Cunningham Oct 1988 A
4819043 Yazawa Apr 1989 A
4885477 Bird Dec 1989 A
4908681 Nishida Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou Sep 1990 A
5034337 Mosher Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee Nov 1992 A
5208473 Komori May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert Dec 1994 A
5384476 Nishizawa Jan 1995 A
5426328 Yilmaz Jun 1995 A
5444008 Han Aug 1995 A
5552332 Tseng Sep 1996 A
5559368 Hu Sep 1996 A
5608253 Liu Mar 1997 A
5622880 Burr Apr 1997 A
5624863 Helm Apr 1997 A
5625568 Edwards Apr 1997 A
5641980 Yamaguchi Jun 1997 A
5663583 Matloubian Sep 1997 A
5712501 Davies Jan 1998 A
5719422 Burr Feb 1998 A
5726488 Watanabe Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura Jun 1998 A
5780899 Hu Jul 1998 A
5847419 Imai Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf Mar 1999 A
5895954 Yasumura Apr 1999 A
5899714 Farremkopf May 1999 A
5918129 Fulford, Jr. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham Mar 2000 A
6060345 Hause May 2000 A
6060364 Maszara May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito Jan 2001 B1
6184112 Maszara Feb 2001 B1
6190979 Radens Feb 2001 B1
6194259 Nayak Feb 2001 B1
6198157 Ishida Mar 2001 B1
6218892 Soumyanath Apr 2001 B1
6218895 De Apr 2001 B1
6221724 Yu Apr 2001 B1
6229188 Aoki May 2001 B1
6232164 Tsai May 2001 B1
6235597 Miles May 2001 B1
6245618 An Jun 2001 B1
6268640 Park Jul 2001 B1
6271070 Kotani Aug 2001 B2
6271551 Schmitz Aug 2001 B1
6288429 Iwata Sep 2001 B1
6297132 Zhang Oct 2001 B1
6300177 Sundaresan Oct 2001 B1
6313489 Letavic Nov 2001 B1
6319799 Ouyang Nov 2001 B1
6320222 Forbes Nov 2001 B1
6323525 Noguchi Nov 2001 B1
6326666 Bernstein Dec 2001 B1
6335233 Cho Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu Apr 2002 B1
6391752 Colinge May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster Jul 2002 B1
6432754 Assaderaghi Aug 2002 B1
6444550 Hao Sep 2002 B1
6444551 Ku Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall Oct 2002 B1
6482714 Hieda Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang Dec 2002 B1
6500739 Wang Dec 2002 B1
6503801 Rouse Jan 2003 B1
6503805 Wang Jan 2003 B2
6506640 Ishida Jan 2003 B1
6518623 Oda Feb 2003 B1
6521470 Lin Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang Apr 2003 B2
6541829 Nishinohara Apr 2003 B2
6548842 Bulucea Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke Jun 2003 B2
6576535 Drobny Jun 2003 B2
6600200 Lustig Jul 2003 B1
6620671 Wang Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried Dec 2003 B2
6667200 Sohn Dec 2003 B2
6670260 Yu Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda May 2004 B2
6743291 Ang Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya Jun 2004 B1
6753230 Sohn Jun 2004 B2
6760900 Rategh Jul 2004 B2
6770944 Nishinohara Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson Sep 2004 B2
6797602 Kluth Sep 2004 B1
6797994 Hoke Sep 2004 B1
6808004 Kamm Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami Nov 2004 B2
6821825 Todd Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar Nov 2004 B2
6831292 Currie Dec 2004 B2
6835639 Rotondaro Dec 2004 B2
6852602 Kanzawa Feb 2005 B2
6852603 Chakravarthi Feb 2005 B2
6881641 Wieczorek Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jaehne May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell May 2005 B2
6901564 Stine May 2005 B2
6916698 Mocuta Jul 2005 B2
6917237 Tschanz Jul 2005 B1
6927463 Iwata Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack Nov 2005 B2
6995397 Yamashita Feb 2006 B2
7002214 Boyd Feb 2006 B1
7008836 Algotsson Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr Mar 2006 B2
7015741 Tschanz Mar 2006 B2
7022559 Barnak Apr 2006 B2
7036098 Eleyan Apr 2006 B2
7038258 Liu May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto May 2006 B2
7057216 Ouyang Jun 2006 B2
7061058 Chakravarthi Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock Jun 2006 B2
7071103 Chan Jul 2006 B2
7078325 Curello Jul 2006 B2
7078776 Nishinohara Jul 2006 B2
7089513 Bard Aug 2006 B2
7089515 Hanafi Aug 2006 B2
7091093 Noda Aug 2006 B1
7105399 Dakshina-Murthy Sep 2006 B1
7109099 Tan Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch Nov 2006 B2
7169675 Tan Jan 2007 B2
7170120 Datta Jan 2007 B2
7176137 Perng Feb 2007 B2
7186598 Yamauchi Mar 2007 B2
7189627 Wu Mar 2007 B2
7199430 Babcock Apr 2007 B2
7202517 Dixit Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu May 2007 B2
7223646 Miyashita May 2007 B2
7226833 White Jun 2007 B2
7226843 Weber Jun 2007 B2
7230680 Fujisawa Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski Aug 2007 B2
7294877 Rueckes Nov 2007 B2
7297994 Wieczorek Nov 2007 B2
7301208 Handa Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie Dec 2007 B2
7312500 Miyashita Dec 2007 B2
7323754 Ema Jan 2008 B2
7332439 Lindert Feb 2008 B2
7348629 Chu Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi May 2008 B2
7398497 Sato Jul 2008 B2
7402207 Besser Jul 2008 B1
7402872 Murthy Jul 2008 B2
7416605 Zollner Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit Oct 2008 B2
7449733 Inaba Nov 2008 B2
7462908 Bol Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh Dec 2008 B2
7485536 Jin Feb 2009 B2
7487474 Ciplickas Feb 2009 B2
7491988 Tolchinsky Feb 2009 B2
7494861 Chu Feb 2009 B2
7496862 Chang Feb 2009 B2
7496867 Turner Feb 2009 B2
7498637 Yamaoka Mar 2009 B2
7501324 Babcock Mar 2009 B2
7503020 Allen Mar 2009 B2
7507999 Kusumoto Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu Apr 2009 B2
7531393 Doyle May 2009 B2
7531836 Liu May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze May 2009 B2
7562233 Sheng Jul 2009 B1
7564105 Chi Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko Aug 2009 B2
7586322 Xu Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea Sep 2009 B1
7598142 Ranade Oct 2009 B2
7605041 Ema Oct 2009 B2
7605060 Meunier-Beillard Oct 2009 B2
7605429 Bernstein Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt Nov 2009 B2
7622341 Chudzik Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae Jan 2010 B2
7644377 Saxe Jan 2010 B1
7645665 Kubo Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock Feb 2010 B2
7673273 Madurawe Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu Mar 2010 B2
7681628 Joshi Mar 2010 B2
7682887 Dokumaci Mar 2010 B2
7683442 Burr Mar 2010 B1
7696000 Liu Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu Apr 2010 B2
7709828 Braithwaite May 2010 B2
7723750 Zhu May 2010 B2
7737472 Kondo Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho Jun 2010 B2
7745270 Shah Jun 2010 B2
7750374 Capasso Jul 2010 B2
7750381 Hokazono Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein Jul 2010 B2
7755144 Li Jul 2010 B2
7755146 Helm Jul 2010 B2
7759206 Luo Jul 2010 B2
7759714 Itoh Jul 2010 B2
7761820 Berger Jul 2010 B2
7795677 Bangsaruntip Sep 2010 B2
7808045 Kawahara Oct 2010 B2
7808410 Kim Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng Oct 2010 B2
7818702 Mandelman Oct 2010 B2
7821066 Lebby Oct 2010 B2
7829402 Matocha Nov 2010 B2
7831873 Trimberger Nov 2010 B1
7846822 Seebauer Dec 2010 B2
7855118 Hoentschel Dec 2010 B2
7859013 Chen Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee Jan 2011 B2
7883977 Babcock Feb 2011 B2
7888205 Herner Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner Feb 2011 B2
7897495 Ye Mar 2011 B2
7906413 Cardone Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger Mar 2011 B2
7919791 Flynn Apr 2011 B2
7926018 Moroz Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder May 2011 B2
7945800 Gomm May 2011 B2
7948008 Liu May 2011 B2
7952147 Ueno May 2011 B2
7960232 King Jun 2011 B2
7960238 Kohli Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell Jun 2011 B2
7989900 Haensch Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa Aug 2011 B2
8012827 Yu Sep 2011 B2
8029620 Kim Oct 2011 B2
8039332 Bernard Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove Nov 2011 B2
8048810 Tsai Nov 2011 B2
8051340 Cranford, Jr. Nov 2011 B2
8053340 Colombeau Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra Nov 2011 B2
8067280 Wang Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng Dec 2011 B2
8097529 Krull Jan 2012 B2
8103983 Agarwal Jan 2012 B2
8105891 Yeh Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow Feb 2012 B2
8114761 Mandrekar Feb 2012 B2
8119482 Bhalla Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock Mar 2012 B2
8129797 Chen Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa Mar 2012 B2
8143678 Kim Mar 2012 B2
8148774 Mori Apr 2012 B2
8163619 Yang Apr 2012 B2
8169002 Chang May 2012 B2
8170857 Joshi May 2012 B2
8173499 Chung May 2012 B2
8173502 Yan May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim May 2012 B2
8179530 Levy May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur May 2012 B2
8185865 Gupta May 2012 B2
8187959 Pawlak May 2012 B2
8188542 Yoo May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III Jun 2012 B2
8214190 Joshi Jul 2012 B2
8217423 Liu Jul 2012 B2
8225255 Ouyang Jul 2012 B2
8227307 Chen Jul 2012 B2
8236661 Dennard Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock Aug 2012 B2
8255843 Chen Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li Oct 2012 B2
8324059 Guo Dec 2012 B2
8645878 Clark Feb 2014 B1
8713511 Clark Apr 2014 B1
8748270 Shifren Jun 2014 B1
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara et al. Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050227427 Helm et al. Oct 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060022270 Boyd et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060197158 Babcock Sep 2006 A1
20060203581 Joshi Sep 2006 A1
20060220114 Miyashita Oct 2006 A1
20060223248 Venugopal Oct 2006 A1
20070040222 Van Camp Feb 2007 A1
20070117326 Tan May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito Mar 2008 A1
20080108208 Arevalo May 2008 A1
20080169493 Lee Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach Aug 2008 A1
20080227250 Ranade Sep 2008 A1
20080237661 Ranade Oct 2008 A1
20080258198 Bojarczuk Oct 2008 A1
20080272409 Sonkusale Nov 2008 A1
20090057746 Sugll Mar 2009 A1
20090108350 Cai Apr 2009 A1
20090134468 Tsuchiya May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai Dec 2009 A1
20090309140 Khamankar Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura Dec 2009 A1
20100012988 Yang Jan 2010 A1
20100038724 Anderson Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai Oct 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard Mar 2011 A1
20110074498 Thompson Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren Apr 2011 A1
20110095811 Chi Apr 2011 A1
20110147828 Murthy Jun 2011 A1
20110169082 Zhu Jul 2011 A1
20110175170 Wang Jul 2011 A1
20110180880 Chudzik Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu Sep 2011 A1
20110230039 Mowry Sep 2011 A1
20110242921 Tran Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110294278 Eguchi Dec 2011 A1
20110309447 Arghavani Dec 2011 A1
20120021594 Gurtej Jan 2012 A1
20120034745 Colombeau Feb 2012 A1
20120056275 Cai Mar 2012 A1
20120065920 Nagumo Mar 2012 A1
20120108050 Chen May 2012 A1
20120132998 Kwon May 2012 A1
20120138953 Cai Jun 2012 A1
20120146155 Hoentschel Jun 2012 A1
20120167025 Gillespie Jun 2012 A1
20120187491 Zhu Jul 2012 A1
20120190177 Kim Jul 2012 A1
20120223363 Kronholz Sep 2012 A1
Foreign Referenced Citations (13)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
10-0794094 Jan 2008 KR
2011062788 May 2011 WO
Non-Patent Literature Citations (35)
Entry
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Aoolications”, Electron Devices Meeting (IEDM), Dec. 2009.
Machine Translation of KR 10-0794094 submitted herewith.
Ciieng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003.
Hori, et al., “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedings of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003, Nov. 2003.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, Nos. 2792-2798, Nov. 2006.
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 1 00 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004, Dec. 2004.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, II et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Si i-vCv Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006.
Goesele, U et al., “Diffusion Engineering by Carbon in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, a et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B- DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, Po. 459-462, 1996.
Laveant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Applications with Zero- Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturat on of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, Set al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3' 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High- Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Shifren, Lucian, Office Action; United States Patent and Trademark Office; U.S. Appl. No. 13/469,201, Jan. 14, 2016.
Related Publications (1)
Number Date Country
20150333144 A1 Nov 2015 US
Provisional Applications (1)
Number Date Country
61579142 Dec 2011 US
Divisions (1)
Number Date Country
Parent 13725152 Dec 2012 US
Child 14534595 US
Continuations (1)
Number Date Country
Parent 14534595 Nov 2014 US
Child 14808122 US