Method for supercritical processing of multiple workpieces

Information

  • Patent Grant
  • 6926012
  • Patent Number
    6,926,012
  • Date Filed
    Thursday, December 19, 2002
    21 years ago
  • Date Issued
    Tuesday, August 9, 2005
    19 years ago
Abstract
An apparatus for supercritical processing of multiple workpieces comprises a transfer module, first and second supercritical processing modules, and a robot. The transfer module includes an entrance. The first and second supercritical processing modules are coupled to the transfer module. The robot is preferably located with the transfer module. In operation, the robot transfers a first workpiece from the entrance of the transfer module to the first supercritical processing module. The robot then transfers a second workpiece from the entrance to the second supercritical processing module. After the workpieces have been processed, the robot returns the first and second workpieces to the entrance of the transfer module. Alternatively, the apparatus includes additional supercritical processing modules coupled to the transfer module.
Description
FIELD OF THE INVENTION

This invention relates to the field of supercritical processing. More particularly, this invention relates to the field of supercritical processing where multiple workpieces are processed simultaneously.


BACKGROUND OF THE INVENTION

Semiconductor fabrication uses photoresist in ion implantation, etching, and other processing steps. In the ion implantation steps, the photoresist masks areas of a semiconductor substrate that are not implanted with a dopant. In the etching steps, the photoresist masks areas of the semiconductor substrate that are not etched. Examples of the other processing steps include using the photoresist as a blanket protective coating of a processed wafer or the blanket protective coating of a MEMS (micro electro-mechanical system) device. Following the ion implantation steps, the photoresist exhibits a hard outer crust covering a jelly-like core. The hard outer crust leads to difficulties in a photoresist removal. Following the etching steps, remaining photoresist exhibits a hardened character that leads to difficulties in the photoresist removal. Following the etching steps, residue (photoresist residue mixed with etch residue) coats sidewalls of etch features. Depending on a type of etching step and material etched, the photoresist residue mixed with the etch residue presents a challenging removal problem since the photoresist residue mixed with the etch residue often strongly bond to the sidewalls of the etch features.


Typically, in the prior art, the photoresist and the residue are removed by plasma ashing in an O2 plasma followed by cleaning in a wet-clean bath. A semiconductor etching and metallization process of the prior art is illustrated in block diagram format in FIG. 1. The semiconductor etching and metallization process 10 includes a photoresist application step 12, a photoresist exposure step 14, a photoresist development step 16, a dielectric etch step 18, an ashing step 20, a wet cleaning step 22, and a metal deposition step 24. In the photoresist application step 12, the photoresist is applied to a wafer having an exposed oxide layer. In the photoresist exposure step 14, the photoresist is exposed to light which is partially blocked by a mask.


Depending upon whether the photoresist is a positive or negative photoresist, either exposed photoresist or non-exposed photoresist, respectively, is removed in the photoresist development step 16 leaving a exposed pattern on the oxide layer. In the dielectric etch step 18, the exposed pattern on the oxide layer is etched in an RIE (reactive ion etch) process which etches the exposed pattern into the oxide layer, forming an etched pattern, while also partially etching the photoresist. This produces the residue which coats the sidewalls of the etch features while also hardening the photoresist. In the ashing step 20, the O2 plasma oxidizes and partially removes the photoresist and the residue. In the wet cleaning step 22, remaining photoresist and residue is cleaned in the wet-clean bath.


In the metal deposition step 24, a metal layer is deposited on the wafer filling the etched pattern and also covering non-etched regions. In subsequent processing, at least part of the metal covering the non-etched regions is removed in order to form a circuit.


Nishikawa et al. in U.S. Pat. No. 4,944,837, issued on Jul. 31, 1990, recite a prior art method of removing a resist using liquidized or supercritical gas. A substrate with the resist is placed into a pressure vessel, which also contains the liquidized or supercritical gas. After a predetermined time lapse, the liquidized or supercritical gas is rapidly expanded, which removes the resist.


Nishikawa et al. teach that supercritical CO2 can be used as a developer for photoresist. A substrate with a photoresist layer is exposed in a pattern to light, thus forming a latent image. The substrate with the photoresist and the latent image is placed in a supercritical CO2 bath for 30 minutes. The supercritical CO2 is then condensed leaving the pattern of the photoresist. Nishikawa et al. further teach that 0.5% by weight of methyl isobutyl ketone (MIBK) can be added to the supercritical CO2, which increases an effectiveness of the supercritical CO2 and, thus, reduces a development time from the 30 minutes to 5 minutes. Nishikawa et al. also teach that a photoresist can be removed using the supercritical CO2 and 7% by weight of the MIBK. The substrate with the photoresist is placed in the supercritical CO2 and the MIBK for 30-45 minutes. Upon condensing the supercritical CO2, the photoresist has been removed.


The methods taught by Nishikawa et al. are inappropriate for a semiconductor fabrication line for a number of reasons. Rapidly expanding a liquidized or supercritical gas to remove a photoresist from a substrate creates a potential for breakage of the substrate. A photoresist development process which takes 30 minutes is too inefficient. A photoresist development or removal process which uses MIBK is not preferred because MIBK is toxic and because MIBK is used only when a more suitable choice is unavailable.


Smith, Jr. et al. in U.S. Pat. No. 5,377,705, issued on Jan. 3, 1995, teach a system for cleaning contaminants from a workpiece. The contaminants include organic, particulate, and ionic contaminants. The system includes a pressurizable cleaning vessel, a liquid CO2 storage container, a pump, a solvent delivery system, a separator, a condenser, and various valves. The pump transfers CO2 gas and solvent to the cleaning vessel and pressurizes the CO2 gas to supercritical CO2. The supercritical CO2 and the solvent remove the contaminants from the workpiece. A valve allows some of the supercritical CO2 and the solvent to bleed from the cleaning vessel while the pump replenishes the supercritical CO2 and the solvent. The separator separates the solvent from the supercritical CO2. The condenser condenses the CO2 to liquid CO2 so that the liquid CO2 storage container can be replenished.


Employing a system such as taught by Smith, Jr. et al. for removing photoresist and residue presents a number of difficulties. The pressurizable cleaning vessel is not configured appropriately for semiconductor substrate handling. It is inefficient to bleed the supercritical CO2 and the solvent during cleaning. Such a system is not readily adaptable to throughput requirements of a semiconductor fabrication line. Such a system is not conducive to safe semiconductor substrate handling, which is crucial in a semiconductor fabrication line. Such a system is not economical for semiconductor substrate processing.


What is needed is a method of developing photoresist using supercritical carbon dioxide appropriate for a semiconductor fabrication line.


What is needed is a method of removing photoresist using supercritical carbon dioxide appropriate for a semiconductor fabrication line.


What is needed is a supercritical processing system which is configured for handling semiconductor substrates.


What is needed is a supercritical processing system in which supercritical CO2 and solvent are not necessarily bled from a processing chamber in order to create a fluid flow within the processing chamber.


What is needed is a supercritical processing system which meets throughput requirements of a semiconductor fabrication line.


What is needed is a supercritical processing system which provides safe semiconductor substrate handling.


What is needed is a supercritical processing system which provides economical semiconductor substrate processing.


SUMMARY OF THE INVENTION

The present invention is an apparatus for supercritical processing of multiple workpieces. The apparatus includes a transfer module, first and second supercritical processing modules, and a robot. The transfer module includes an entrance. The first and second supercritical processing modules are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a first workpiece from the entrance of the transfer module to the first supercritical processing module. The robot then transfers a second workpiece from the entrance to the second supercritical processing module. After the workpieces have been processed, the robot returns the first and second workpieces to the entrance of the transfer module. Alternatively, the apparatus includes additional supercritical processing modules coupled to the transfer module.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 illustrates, in block diagram format, a process flow for a semiconductor etching and metallization process of the prior art.



FIG. 2 illustrates, in block diagram format, a process flow for a semiconductor etching and metallization process of the present invention.



FIG. 3 illustrates, in block diagram format, a supercritical removal process of the present invention.



FIG. 4 illustrates the preferred supercritical processing system of the present invention.



FIG. 5 illustrates the preferred supercritical processing module of the present invention.



FIG. 6 illustrates a first alternative supercritical processing system of the present invention.



FIG. 7 illustrates a second alternative supercritical processing system of the present invention.



FIG. 8 illustrates a third alternative supercritical processing system of the present invention.



FIG. 9 illustrates a fourth alternative supercritical processing system of the present invention.





DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

A semiconductor etch and metallization process of the present invention is illustrated, as a block diagram, in FIG. 2. The semiconductor etch and metallization process 30 includes a photoresist application step 32, a photoresist exposure step 34, a photoresist development step 36, a dielectric etch step 38, a supercritical removal process 40, and a metal deposition step 42. In the photoresist application step 32, the photoresist is applied to a wafer having an exposed oxide layer. In the photoresist exposure step 34, the photoresist is exposed to light which is partially blocked by a mask.


Depending upon whether the photoresist is a positive or negative photoresist, either exposed photoresist or non-exposed photoresist, respectively, is removed in the photoresist development step 36 leaving a exposed pattern on the oxide layer. In the dielectric etch step 38, the exposed pattern on the oxide layer is preferably etched in an RIE (reactive ion etch) process which etches the exposed pattern into the oxide layer while also partially etching the photoresist. This produces the residue which coats the sidewalls of the etch features while also hardening the photoresist.


In the supercritical removal process 40, supercritical carbon dioxide and a solvent are used to remove the photoresist and the residue. In the metal deposition step 42, a metal layer is deposited on the wafer filling the etched pattern and also covering non-etched regions. In subsequent processing, at least part of the metal covering the non-etched regions is removed in order to form a circuit.


The supercritical removal process 40 of the present invention is illustrated, as a block diagram, in FIG. 3. The supercritical removal process 40 begins by placing the wafer, with the photoresist and the residue on the wafer, within a pressure chamber and sealing the pressure chamber in a first process step 52. In a second process step 54, the pressure chamber is pressurized with carbon dioxide until the carbon dioxide becomes the supercritical carbon dioxide (SCCO2). In a third process step 56, the supercritical carbon dioxide carries a solvent into the process chamber. In a fourth process step 58, the supercritical carbon dioxide and the solvent are maintained in contact with the wafer until the photoresist and the residue are removed from the wafer. In the fourth process step 58, the solvent at least partially dissolves the photoresist and the residue. In a fifth process step 60, the pressure chamber is partially exhausted. In a sixth process step 62, the wafer is rinsed. In a seventh process step 64, the supercritical removal process 40 ends by depressurizing the pressure chamber and removing the wafer.


The supercritical removal process 40 is preferably implemented in a semiconductor fabrication line by the preferred supercritical processing system of the present invention, which is illustrated in FIG. 4. The preferred supercritical processing system 70 includes a transfer module 72, first through fifth supercritical processing modules, 74-78, a robot 80, and control electronics 82. The transfer module includes first through fifth process ports, 84-88, and a transfer module entrance 90. The transfer module entrance 90 includes first and second hand-off stations, 92 and 94, and first and second entrance ports, 96 and 98.


The first through fifth supercritical processing modules, 74-78, are coupled to the transfer module 72 via the first through fifth process ports, 84-88, respectively. Preferably, the robot 80 is coupled to the transfer module 72 at a center of the transfer module 72. The first and second hand-off stations, 92 and 94, are coupled to the transfer module via the first and second entrance ports, 96 and 98, respectively. The control electronics 82 are coupled to the transfer module 72.


Preferably, the transfer module 72 operates at atmospheric pressure. Alternatively, the transfer module 72 operates at a slight positive pressure relative to a surrounding environment where the slight positive pressure is produced by an inert gas injection arrangement. The inert gas injection arrangement injects an inert gas, such as Ar, CO2, or N2, into the transfer module 72. This assures a cleaner processing environment within the transfer module 72.


The robot 80 preferably includes a robot base 100, a robot arm 102, and an end effector 104. The robot base is coupled to the transfer module 72. The robot arm 102 is preferably a two piece robot arm, which couples the end effector 104 to the robot base 100. The end effector 104 is configured to pick and place workpieces. Preferably, the end effector 104 is configured to pick and place the wafer. Alternatively, the end effector 104 is configured to pick and place a puck or other substrate. Alternatively, a dual arm robot replaces the robot 80, where the dual arm robot includes two arms and two end effectors.


The first through fifth supercritical processing modules, 74-78, preferably include first through fifth gate valves, 106-110, respectively. The first through fifth gate valves, 106-110, couple first through fifth workpiece cavities, 112-116, of the first through fifth supercritical processing modules, 74-78, respectively, to the first through fifth process ports, 84-88.


Preferably, in operation, the robot 80 transfers a first workpiece 118 from the first hand-off station 92 to the first supercritical processing module 74, where the supercritical removal process 40 is performed. Subsequently, the robot 80 transfers a second workpiece 120 from the first hand-off station 92 to the second supercritical processing module 75, where the supercritical removal process 40 is performed. Further, the robot 80 transfers third through fifth workpieces (not shown) from the first hand-off station 92 to the third through fifth supercritical processing modules, 76-78, respectively, where the supercritical removal process 40 is performed.


In subsequent operation, the robot 80 transfers the first workpiece from the first supercritical processing module 74 to the second hand-off station 94. Further, the robot 80 transfers the second workpiece from the second supercritical processing module 75 to the second hand-off station 94. Moreover, the robot 80 transfers the third through fifth workpieces from the third through fifth supercritical processing modules, 76-78, respectively, to the second hand-off station 94.


Preferably, the first workpiece 118, the second wafer 120, and the third through fifth workpieces are wafers. Preferably, the wafers are in a first cassette at the first handoff station 92 prior to supercritical processing. Preferably, the wafers are placed by the robot 80 in a second cassette at the second hand-off station 94 following the supercritical processing. Alternatively, the wafers begin and end in the first cassette at the first handoff station 92 along while a second group of wafers begins and ends in the second cassette at the second hand-off station 94.


It will be readily apparent to one skilled in the art that the second hand-off station 94 can be eliminated or that additional hand-off stations can be added to the preferred supercritical processing system 70. Further, it will be readily apparent to one skilled in the art that the preferred supercritical processing system 70 can be configured with less than the first through fifth supercritical processing modules, 74-78, or more than the first through fifth supercritical processing modules, 74-78. Moreover, it will be readily apparent to one skilled in the art that the robot 80 can be replaced by a transfer mechanism which is configured to transfer the first workpiece 118, the second workpiece 120, and the third through fifth workpieces. Additionally, it will be readily apparent to one skilled in the art that the first and second cassettes can be front opening unified pods which employ a standard mechanical interface concept so that the wafers can be maintained in a clean environment separate from the surrounding environment.


The first supercritical processing module 74 of the present invention is illustrated in FIG. 5. The first supercritical processing module 74 includes a carbon dioxide supply vessel 132, a carbon dioxide pump 134, the pressure chamber 136, a chemical supply vessel 138, a circulation pump 140, and an exhaust gas collection vessel 144. The carbon dioxide supply vessel 132 is coupled to the pressure chamber 136 via the carbon dioxide pump 134 and carbon dioxide piping 146. The carbon dioxide piping 146 includes a carbon dioxide heater 148 located between the carbon dioxide pump 134 and the pressure chamber 136. The pressure chamber 136 includes a pressure chamber heater 150. The circulation pump 140 is located on a circulation line 152, which couples to the pressure chamber 136 at a circulation inlet 154 and at a circulation outlet 156. The chemical supply vessel 138 is coupled to the circulation line 152 via a chemical supply line 158, which includes a first injection pump 159. A rinse agent supply vessel 160 is coupled to the circulation line 152 via a rinse supply line 162, which includes a second injection pump 163. The exhaust gas collection vessel 144 is coupled to the pressure chamber 136 via exhaust gas piping 164.


The carbon dioxide supply vessel 132, the carbon dioxide pump 134, and the carbon dioxide heater 148 form a carbon dioxide supply arrangement 149. The chemical supply vessel 138, the first injection pump 159, the rinse agent supply vessel 160, and the second injection pump 163 form a chemical and rinse agent supply arrangement 165. Preferably, the carbon dioxide supply arrangement 149, the chemical and rinse agent supply arrangement 165, and the exhaust gas collection vessel 144 service the second through fifth supercritical processing modules, 75-78, (FIG. 3) as well as the first supercritical processing module 74. In other words, preferably, the first supercritical processing module 74 includes the carbon dioxide supply arrangement 149, the chemical and rinse agent supply arrangement 165, and the exhaust gas collection vessel 144 while the second through fifth supercritical processing modules, 75-78, share the carbon dioxide supply arrangement 149, the chemical and rinse agent supply arrangement 165, and the exhaust gas collection vessel 144 of the first supercritical processing module 74.


It will be readily apparent to one skilled in the art that one or more additional carbon dioxide supply arrangements, one or more additional chemical and rinse agent supply arrangements, or one or more additional exhaust gas collection vessels can be provided to service the second through fifth supercritical processing modules, 75-78. Further, it will be readily apparent to one skilled in the art that the first supercritical processing module 74 includes valving, control electronics, filters, and utility hookups which are typical of supercritical fluid processing systems. Moreover, it will be readily apparent to one skilled in the art that additional chemical supply vessels could be coupled to the first injection pump 159 or that the additional chemical supply vessels and additional injection pumps could be coupled to the circulation line 152.


Referring to FIGS. 3, 4, and 5, implementation of the supercritical removal method 40 begins with the first process step 52, in which the wafer, having the photoresist or the residue (or both the photoresist and the residue) is inserted through the first process port and placed in the first wafer cavity 112 of the pressure chamber 136 by the robot 80 and, then, the pressure chamber 136 is sealed by closing the gate valve 106. In the second process step 54, the pressure chamber 136 is pressurized by the carbon dioxide pump 134 with the carbon dioxide from the carbon dioxide supply vessel 132. During the second step 54, the carbon dioxide is heated by the carbon dioxide heater 148 while the pressure chamber 136 is heated by the pressure chamber heater 150 to ensure that a temperature of the carbon dioxide in the pressure chamber 136 is above a critical temperature. The critical temperature for the carbon dioxide is 31° C. Preferably, the temperature of the carbon dioxide in the pressure chamber 136 is within a range of 45° C. to 75° C. Alternatively, the temperature of the carbon dioxide in the pressure chamber 136 is maintained within a range of from 31° C. to about 100° C.


Upon reaching initial supercritical conditions, the first injection pump 159 pumps the solvent from the chemical supply vessel 138 into the pressure chamber 136 via the circulation line 152 while the carbon dioxide pump further pressurizes the supercritical carbon dioxide in the third process step 56. At a beginning of a solvent injection, the pressure in the pressure chamber 136 is about 1,100-1,200 psi. Once a desired amount of the solvent has been pumped into the pressure chamber 136 and desired supercritical conditions are reached, the carbon dioxide pump 134 stops pressurizing the pressure chamber 136, the first injection pump 159 stops pumping the solvent into the pressure chamber 136, and the circulation pump 140 begins circulating the supercritical carbon dioxide and the solvent in the fourth process step 58. Preferably, the pressure at this point is about 2,700-2,800 psi. By circulating the supercritical carbon dioxide and the solvent, the supercritical carbon dioxide maintains the solvent in contact with the wafer. Additionally, by circulating the supercritical carbon dioxide and the solvent, a fluid flow enhances removal of the photoresist and the residue from the wafer.


Preferably, the wafer is held stationary in the pressure chamber 136 during the fourth process step 58. Alternatively, the wafer is spun within the pressure chamber 136 during the fourth process step 58.


After the photoresist and the residue has been removed from the wafer, the pressure chamber 136 is partially depressurized by exhausting some of the supercritical carbon dioxide, the solvent, removed photoresist, and removed residue to the exhaust gas collection vessel 144 in order to return conditions in the pressure chamber 136 to near the initial supercritical conditions in the fifth process step 60. Preferably, the pressure within the pressure chamber 136 is cycled at least once at this point by raising the pressure and then again partially exhausting the pressure chamber 136. This enhances a cleanliness within the pressure chamber 136. In the fifth process step 60, the pressure chamber is preferably maintained above the critical temperature and above a critical pressure. The critical pressure for carbon dioxide is 1,070 psi.


In the sixth process step 62, the second injection pump 163 pumps a rinse agent from the rinse agent supply vessel 160 into the pressure chamber 136 via the circulation line while the carbon dioxide pump 134 pressurizes the pressure chamber 136 to near the desired supercritical conditions and, then, the circulation pump 140 circulates the supercritical carbon dioxide and the rinse agent in order to rinse the wafer. Preferably, the rinse agent is selected from the group consisting of water, alcohol, acetone, and a mixture thereof. More preferably, the rinse agent is the mixture of the alcohol and the water. Preferably, the alcohol is selected from the group consisting of isopropyl alcohol, ethanol, and other low molecular weight alcohols. More preferably, the alcohol is selected from the group consisting of the isopropyl alcohol and the ethanol. Most preferably, the alcohol is the ethanol.


Preferably, the wafer is held stationary in the pressure chamber 136 during the sixth process step 62. Alternatively, the wafer is spun within the pressure chamber 136 during the sixth process step 62.


In the seventh process step 64, the pressure chamber 136 is depressurized, by exhausting the pressure chamber 136 to the exhaust gas collection vessel 144, the gate valve 106 is opened, and the wafer is removed from the pressure chamber 136 by the robot 80.


Alternative supercritical removal processes of the present invention are taught in the following patent applications, all of which are incorporated in their entirety by reference: U.S. patent application Ser. No. 09/697,227, filed on Oct. 25, 2000; U.S. patent application Ser. No. 09/389,788, filed on Sep. 3, 1999; U.S. patent application Ser. No. 09/085,391, filed on May 27, 1998; and U.S. Provisional Patent Application No. 60/047,739, filed May 27, 1997.


A first alternative supercritical processing system of the present invention is illustrated in FIG. 6. The first alternative supercritical processing system 170 adds first through fifth ante-chambers, 172-176, and first through fifth ante-chamber robots, 178-182, to the preferred supercritical processing system 70. In operation, the first through fifth ante-chambers, 172-176, operate from about atmospheric pressure to some elevated pressure. This allows the first through fifth wafer cavities, 112-16, to operate between the elevated pressure and supercritical pressure and, thus, enhancing throughput. Alternatively, in the first alternative supercritical processing system 170, the first through fifth ante-chamber robots, 178-182, are replaced with first through fifth magnetically coupled mechanisms, or first through fifth hydraulically driven mechanisms, or first through fifth pneumatically driven mechanisms.


A second alternative supercritical processing system of the present invention of the present invention is illustrated in FIG. 7. The second alternative supercritical processing system 190 replaces the first and second hand-off stations, 92 and 94, of the preferred supercritical processing system 70 with first and second loadlocks, 192 and 194. In operation, the transfer module operates at a second elevated pressure and, thus, also enhances the throughput.


A third alternative supercritical processing system of the present invention of the present invention is illustrated in FIG. 8. The third alternative supercritical processing system 200 comprises an alternative transfer module 202 and a robot track 204.


A fourth alternative supercritical processing system of the present invention is illustrated in FIG. 9. The fourth alternative supercritical processing system 210 preferably replaces the third supercritical processing module 76 of the preferred supercritical processing system 70 with a third hand-off station 212 and adds a second transfer module 214, a second robot 216, and additional supercritical processing modules 218. In the fourth alternative supercritical processing system 210, the third hand-off station 212 couples the transfer module 72 to the second transfer module 214. The second robot 216 preferably resides in the second transfer module 214. The additional supercritical processing modules 218 are coupled to the second transfer module 214. Thus, the fourth alternative supercritical processing system 210 allows for more supercritical processing modules than the preferred supercritical processing system 70.


A fifth alternative supercritical processing system of the present invention eliminates the transfer module 72 of the preferred supercritical processing system 70. In the fifth alternative supercritical processing system, the robot 80 is configured to move workpieces between the first and second hand-off stations, 92 and 94, and the first through fifth supercritical processing modules, 74-78, without benefitting from a covering effect provided by the transfer module 72.


A sixth alternative supercritical processing system of the present invention adds an inspection station to the preferred supercritical processing system 70. In the sixth alternative supercritical processing system, the first workpiece 118, the second workpiece 120, and the third through fifth workpieces are transferred to the inspection station prior to being transferred to the second hand-off station 94. At the inspection station, an inspection of the workpieces ensures that the photoresist and the residue have been removed from the workpieces. Preferably, the inspection station uses spectroscopy to inspect the workpieces.


A seventh alternative supercritical processing system of the present invention adds a front-end robot to the preferred supercritical processing system 70. In the seventh alternative supercritical processing system, the front-end robot resides outside of the entrance to the transfer module 72 and the first and second cassettes are located away from the first and second hand-off stations, 92 and 94. The front-end robot is preferably configured to move the wafers from the first cassette to the first hand-off station 92 and is also preferably configured to move the wafers from the second hand-off station 94 to the second cassette.


An eighth alternative supercritical processing system of the present invention adds a wafer orientation mechanism to the preferred supercritical processing system 70. The wafer orientation mechanism orients the wafer according to a flat, a notch, or an other orientation indicator. Preferably, the wafer is oriented at the first hand-off station 92. Alternatively, the wafer is oriented at the second hand-off station 94.


A first alternative supercritical processing module of the present invention replaces the pressure chamber 136 and gate valve 106 with an alternative pressure chamber. The alternative pressure chamber comprises a chamber housing and a hydraulicly driven wafer platen. The chamber housing comprises a cylindrical cavity which is open at its bottom. The hydraulicly driven wafer platen is configured to seal against the chamber housing outside of the cylindrical cavity. In operation, the wafer is placed on the hydraulicly driven wafer platen. Then, the hydraulicly driven wafer platen moves upward and seals with the chamber housing. Once the wafer has been processed. the hydraulicly driven wafer platen is lowered and the wafer is taken away.


A second alternative supercritical processing module of the present invention places alternative inlets for the circulation line 152 to enter the wafer cavity 112 at a circumference of the wafer cavity 112 and places an alternative outlet at a top center of the wafer cavity 112. The alternative inlets are preferably configured to inject the supercritical carbon dioxide in a plane defined by the wafer cavity 112. Preferably, the alternative inlets are angled with respect to a radius of the wafer cavity 112 so that in operation the alternative inlets and the alternative outlet create a vortex within the wafer cavity 112.


It will be readily apparent to one skilled in the art that other various modifications may be made to the preferred embodiment without departing from the spirit and scope of the invention as defined by the appended claims.

Claims
  • 1. A method of supercritical processing first and second workpieces comprising the steps of: a. transferring the first workpiece from an entrance of a transfer module into a first ante-chamber and from the first ante-chamber into the first supercritical processing module; b. transferring the second workpiece from the entrance of the transfer module into a second ante-chamber and from the second ante-chamber into the second supercritical processing module; c. processing the first and second workpieces in the first and second supercritical processing modules, respectively; d. transferring the first workpiece from the first supercritical processing module into the first ante-chamber and from the first ante-chamber to the entrance of the transfer module; and e. transferring the second workpiece from the second supercritical processing module into the second ante-chamber and from the second ante-chamber to the entrance of the transfer module.
  • 2. The method of claim 1 wherein the entrance of the transfer module comprises a hand-off station.
  • 3. The method of claim 2 wherein the entrance of the transfer module further comprises an additional hand-off station.
  • 4. The method of claim 1, wherein the step of processing one of the first workpiece and the second workpiece comprises: a. introducing a cleaning material into one of the first supercritical processing module and the second processing module; b. pressurizing the cleaning material until the cleaning material reaches its supercritical state; c. introducing a solvent into the one of the first supercritical processing module and the second processing module; and d. maintaining the supercritical cleaning material and solvent in contact with the one of the first workpiece and the second workpiece until a residue contained on the one of the first workpiece and the second workpiece is at least partially dissolved.
  • 5. The method of claim 4, wherein the step of processing one of the first workpiece and the second workpiece further comprises: a. partially exhausting the one of the first supercritical processing module and the second supercritical processing module; b. introducing a rinsing agent into the one of the first supercritical processing module and the second supercritical processing module to rinse the one of the first workpiece and the second workpiece; and c. depressurizing the one of the first supercritical processing module and the second supercritical processing module.
  • 6. The method of claim 4, wherein the cleaning material is carbon dioxide.
  • 7. The method of claim 5, wherein the rinsing agent is selected from the group consisting essentially of water, alcohol, and acetone.
  • 8. The method of claim 7, wherein the alcohol is a low molecular weight alcohol.
  • 9. The method of claim 8, wherein the low molecular weight alcohol is selected from the group consisting of isopropyl alcohol and ethanol.
  • 10. The method of claim 5, further comprising the steps of: a. raising the pressure within the one of the first supercritical processing module and the second supercritical processing module; and b. partially exhausting the one of the first supercritical processing module and the second supercritical processing module.
  • 11. The method of claim 1, further comprising raising a corresponding pressure in the first and second ante-chambers to elevated pressures.
  • 12. The method of claim 1, wherein processing the first and second workpieces comprise spinning the first and second workpieces.
  • 13. The method of claim 1, wherein processing the first and second workpieces respectively comprise circulating a supercritical carbon dioxide and a solvent throughout first and second workpiece cavities containing the first and second workpieces.
  • 14. The method of claim 1, further comprising introducing an inert gas into the transfer module to adjust a pressure within the transfer module to a value greater than atmosphere.
  • 15. The method of claim 1, wherein each workpiece transferred into the first ante-chamber is transferred into the first supercritical processing module and further wherein each workpiece transferred into the second ante-chamber is transferred into the second supercritical processing module.
  • 16. A method of supercritical processing first and second workpieces comprising the steps of: a. transferring the first workpiece from an entrance of a transfer module into a first ante-chamber and from the first ante-chamber into a first supercritical processing module; b. transferring the second workpiece from the entrance of the transfer module into a second ante-chamber and from the second ante-chamber into a second supercritical processing module; c. performing supercritical processing on the first and second workpieces in the first and second supercritical processing modules, respectively; d. transferring the first workpiece from the first supercritical processing module into the first ante-chamber and from the first ante-chamber to the entrance of the transfer module; and e. transferring the second workpiece from the second supercritical processing module into the second ante-chamber and from the second ante-chamber to the entrance of the transfer module wherein performing supercritical processing on the first and second workpieces comprises: (i) introducing a first cleaning material into the first supercritical processing module; (ii) pressurizing the first cleaning material until the first cleaning material reaches its supercritical state; (iii) maintaining the first cleaning material while substantially in its supercritical state in contact with the first workpiece until a first residue contained on the first workpiece is at least partially dissolved; (iv) introducing a second cleaning material into the second supercritical processing module; (v) pressurizing the second cleaning material until the second cleaning material reaches its supercritical state; and (vi) maintaining the second cleaning material while substantially in its supercritical state in contact with the second workpiece until a second residue contained on the second workpiece is at least partially dissolved.
RELATED APPLICATIONS

This patent application is a divisional application of the U.S. patent application Ser. No. 09/704,642, filed Nov. 1, 2000 now U.S. Pat. No. 6,748,960, and titled “METHOD AND APPARATUS FOR SUPERCRITICAL PROCESSING OF MULTIPLE WORKPIECES,” which claims priority from U.S. Provisional Patent Application Ser. No. 60/163,121, filed Nov. 2, 1999, and titled “A HIGH THROUGHPUT CLUSTER TOOL FOR CLEANING SEMICONDUCTOR DEVICES USING SUPERCRITICAL CO2.” The U.S. patent application Ser. No. 09/704,642, filed Nov. 1, 2000, and titled “METHOD AND APPARATUS FOR SUPERCRITICAL PROCESSING OF A WORKPIECE,” is hereby incorporated by reference.

US Referenced Citations (267)
Number Name Date Kind
2617719 Stewart Nov 1952 A
2625886 Browne Jan 1953 A
3744660 Gaines et al. Jul 1973 A
3890176 Bolon Jun 1975 A
3900551 Bardoncelli et al. Aug 1975 A
3968885 Hassan et al. Jul 1976 A
4029517 Rand Jun 1977 A
4091643 Zucchini May 1978 A
4219333 Harris Aug 1980 A
4245154 Uehara et al. Jan 1981 A
4341592 Shortes et al. Jul 1982 A
4355937 Mack et al. Oct 1982 A
4367140 Wilson Jan 1983 A
4406596 Budde Sep 1983 A
4422651 Platts Dec 1983 A
4474199 Blaudszun Oct 1984 A
4475993 Blander et al. Oct 1984 A
4522788 Sitek et al. Jun 1985 A
4549467 Wilden et al. Oct 1985 A
4592306 Gallego Jun 1986 A
4601181 Privat Jul 1986 A
4626509 Lyman Dec 1986 A
4670126 Messer et al. Jun 1987 A
4682937 Credle, Jr. Jul 1987 A
4693777 Hazano et al. Sep 1987 A
4749440 Blackwood et al. Jun 1988 A
4778356 Hicks Oct 1988 A
4788043 Kagiyama et al. Nov 1988 A
4789077 Noe Dec 1988 A
4823976 White, III et al. Apr 1989 A
4825808 Takahashi et al. May 1989 A
4827867 Takei et al. May 1989 A
4838476 Rahn Jun 1989 A
4865061 Fowler et al. Sep 1989 A
4877530 Moses Oct 1989 A
4879004 Oesch et al. Nov 1989 A
4879431 Bertoncini Nov 1989 A
4917556 Stark et al. Apr 1990 A
4923828 Gluck et al. May 1990 A
4924892 Kiba et al. May 1990 A
4933404 Beckman et al. Jun 1990 A
4944837 Nishikawa et al. Jul 1990 A
4951601 Maydan et al. Aug 1990 A
4960140 Ishijima et al. Oct 1990 A
4983223 Gessner Jan 1991 A
5011542 Weil Apr 1991 A
5013366 Jackson et al. May 1991 A
5044871 Davis et al. Sep 1991 A
5062770 Story et al. Nov 1991 A
5068040 Jackson Nov 1991 A
5071485 Matthews et al. Dec 1991 A
5105556 Kurokawa et al. Apr 1992 A
5143103 Basso et al. Sep 1992 A
5158704 Fulton et al. Oct 1992 A
5167716 Boitnott et al. Dec 1992 A
5169296 Wilden Dec 1992 A
5169408 Biggerstaff et al. Dec 1992 A
5174917 Monzyk Dec 1992 A
5185058 Cathey, Jr. Feb 1993 A
5185296 Morita et al. Feb 1993 A
5186594 Toshima et al. Feb 1993 A
5186718 Tepman et al. Feb 1993 A
5188515 Horn Feb 1993 A
5190373 Dickson et al. Mar 1993 A
5191993 Wanger et al. Mar 1993 A
5193560 Tanaka et al. Mar 1993 A
5195878 Sahiavo et al. Mar 1993 A
5201960 Starov Apr 1993 A
5213485 Wilden May 1993 A
5213619 Jackson et al. May 1993 A
5215592 Jackson Jun 1993 A
5217043 Novakovi Jun 1993 A
5221019 Pechacek Jun 1993 A
5222876 Budde Jun 1993 A
5224504 Thompson et al. Jul 1993 A
5225173 Wai Jul 1993 A
5236602 Jackson Aug 1993 A
5236669 Simmons et al. Aug 1993 A
5237824 Pawliszyn Aug 1993 A
5238671 Matson et al. Aug 1993 A
5240390 Kvinge et al. Aug 1993 A
5243821 Schuck et al. Sep 1993 A
5246500 Samata et al. Sep 1993 A
5250078 Saus et al. Oct 1993 A
5251776 Morgan, Jr. et al. Oct 1993 A
5261965 Moslehi Nov 1993 A
5266205 Fulton et al. Nov 1993 A
5267455 Dewees et al. Dec 1993 A
5269815 Schlenker et al. Dec 1993 A
5274129 Natale et al. Dec 1993 A
5280693 Heudecker Jan 1994 A
5285352 Pastore et al. Feb 1994 A
5288333 Tanaka et al. Feb 1994 A
5290361 Hayashida et al. Mar 1994 A
5294261 McDermott et al. Mar 1994 A
5298032 Schlenker et al. Mar 1994 A
5304515 Morita et al. Apr 1994 A
5306350 Hoy et al. Apr 1994 A
5312882 DeSimone et al. May 1994 A
5313965 Palen May 1994 A
5314574 Takahashi May 1994 A
5316591 Chao et al. May 1994 A
5328722 Ghanayem et al. Jul 1994 A
5334332 Lee Aug 1994 A
5334493 Fujita et al. Aug 1994 A
5337446 Smith et al. Aug 1994 A
5339844 Stanford, Jr. et al. Aug 1994 A
5352327 Witowski Oct 1994 A
5355901 Mielnik et al. Oct 1994 A
5356538 Wai et al. Oct 1994 A
5364497 Chau et al. Nov 1994 A
5368171 Jackson Nov 1994 A
5370740 Chao et al. Dec 1994 A
5370741 Bergman Dec 1994 A
5370742 Mitchell et al. Dec 1994 A
5377705 Smith, Jr. et al. Jan 1995 A
5401322 Marshall Mar 1995 A
5403621 Jackson et al. Apr 1995 A
5403665 Alley et al. Apr 1995 A
5404894 Shiraiwa Apr 1995 A
5412958 Iliff et al. May 1995 A
5417768 Smith, Jr. et al. May 1995 A
5433334 Reneau Jul 1995 A
5447294 Sakata et al. Sep 1995 A
5456759 Stanford, Jr. et al. Oct 1995 A
5470393 Fukazawa Nov 1995 A
5474812 Truckenmuller et al. Dec 1995 A
5482564 Douglas et al. Jan 1996 A
5486212 Mitchell et al. Jan 1996 A
5494526 Paranjpe Feb 1996 A
5500081 Bergman Mar 1996 A
5501761 Evans et al. Mar 1996 A
5503176 Dunmire et al. Apr 1996 A
5505219 Lansberry et al. Apr 1996 A
5509431 Smith, Jr. et al. Apr 1996 A
5514220 Wetmore et al. May 1996 A
5522938 O'Brien Jun 1996 A
5526834 Mielnik et al. Jun 1996 A
5533538 Marshall Jul 1996 A
5547774 Gimzewski et al. Aug 1996 A
5550211 DeCrosta et al. Aug 1996 A
5571330 Kyogoku Nov 1996 A
5580846 Hayashida et al. Dec 1996 A
5589082 Lin et al. Dec 1996 A
5589105 DeSimone et al. Dec 1996 A
5589224 Tepman et al. Dec 1996 A
5621982 Yamashita et al. Apr 1997 A
5629918 Ho et al. May 1997 A
5632847 Ohno et al. May 1997 A
5635463 Muraoka Jun 1997 A
5637151 Schulz Jun 1997 A
5641887 Beckman et al. Jun 1997 A
5644855 McDermott et al. Jul 1997 A
5649809 Stapelfeldt Jul 1997 A
5656097 Olesen et al. Aug 1997 A
5665527 Allen et al. Sep 1997 A
5669251 Townsend et al. Sep 1997 A
5672204 Habuka Sep 1997 A
5676705 Jureller et al. Oct 1997 A
5679169 Gonzales et al. Oct 1997 A
5679171 Saga et al. Oct 1997 A
5683473 Jureller et al. Nov 1997 A
5683977 Jureller et al. Nov 1997 A
5688879 DeSimone Nov 1997 A
5700379 Biebl Dec 1997 A
5702228 Tamai et al. Dec 1997 A
5706319 Holtz Jan 1998 A
5726211 Hedrick et al. Mar 1998 A
5730874 Wai et al. Mar 1998 A
5739223 DeSimone Apr 1998 A
5746008 Yamashita et al. May 1998 A
5769588 Toshima et al. Jun 1998 A
5783082 DeSimone et al. Jul 1998 A
5797719 James et al. Aug 1998 A
5798126 Fujikawa et al. Aug 1998 A
5798438 Sawan et al. Aug 1998 A
5804607 Hedrick et al. Sep 1998 A
5817178 Mita et al. Oct 1998 A
5866005 DeSimone et al. Feb 1999 A
5868856 Douglas et al. Feb 1999 A
5868862 Douglas et al. Feb 1999 A
5872257 Beckman et al. Feb 1999 A
5873948 Kim Feb 1999 A
5881577 Sauer et al. Mar 1999 A
5882165 Maydan et al. Mar 1999 A
5888050 Fitzgerald et al. Mar 1999 A
5898727 Fujikawa et al. Apr 1999 A
5900107 Murphy et al. May 1999 A
5900354 Batchelder May 1999 A
5904737 Preston et al. May 1999 A
5906866 Webb May 1999 A
5908510 McCullough et al. Jun 1999 A
5928389 Jevtic Jul 1999 A
5932100 Yager et al. Aug 1999 A
5934856 Asakawa et al. Aug 1999 A
5934991 Rush Aug 1999 A
5944996 DeSimone et al. Aug 1999 A
5955140 Smith et al. Sep 1999 A
5965025 Wai et al. Oct 1999 A
5975492 Brenes Nov 1999 A
5976264 McCullough et al. Nov 1999 A
5979306 Fujikawa et al. Nov 1999 A
5980648 Adler Nov 1999 A
5981399 Kawamura et al. Nov 1999 A
5989342 Ikeda et al. Nov 1999 A
6005226 Aschner et al. Dec 1999 A
6017820 Ting et al. Jan 2000 A
6024801 Wallace et al. Feb 2000 A
6029371 Kamikawa et al. Feb 2000 A
6035871 Eui-Yeol Mar 2000 A
6037277 Masakara et al. Mar 2000 A
6053348 Morch Apr 2000 A
6056008 Adams et al. May 2000 A
6067728 Farmer et al. May 2000 A
6077053 Fujikawa et al. Jun 2000 A
6077321 Adachi et al. Jun 2000 A
6082150 Stucker Jul 2000 A
6085935 Malchow et al. Jul 2000 A
6097015 McCullough et al. Aug 2000 A
6110232 Chen et al. Aug 2000 A
6114044 Houston et al. Sep 2000 A
6122566 Nguyen et al. Sep 2000 A
6128830 Bettcher et al. Oct 2000 A
6145519 Konishi et al. Nov 2000 A
6149828 Vaartstra Nov 2000 A
6159295 Maskara et al. Dec 2000 A
6164297 Kamikawa Dec 2000 A
6186722 Shirai Feb 2001 B1
6200943 Romack et al. Mar 2001 B1
6203582 Berner et al. Mar 2001 B1
6216364 Tanaka et al. Apr 2001 B1
6224774 DeSimone et al. May 2001 B1
6228563 Starov et al. May 2001 B1
6228826 DeYoung et al. May 2001 B1
6235634 White et al. May 2001 B1
6239038 Wen May 2001 B1
6241825 Wytman Jun 2001 B1
6242165 Vaartstra Jun 2001 B1
6244121 Hunter Jun 2001 B1
6251250 Keigler Jun 2001 B1
6277753 Mullee et al. Aug 2001 B1
6286231 Bergman et al. Sep 2001 B1
6305677 Lenz Oct 2001 B1
6306564 Mullee Oct 2001 B1
6334266 Moritz et al. Jan 2002 B1
6344174 Miller et al. Feb 2002 B1
6355072 Racette et al. Mar 2002 B1
6388317 Reese May 2002 B1
6389677 Lenz May 2002 B1
6418956 Bloom Jul 2002 B1
6436824 Chooi et al. Aug 2002 B1
6454519 Toshima et al. Sep 2002 B1
6454945 Weigl et al. Sep 2002 B1
6464790 Shertinsky et al. Oct 2002 B1
6508259 Tseronis et al. Jan 2003 B1
6509141 Mullee Jan 2003 B2
6521466 Castrucci Feb 2003 B1
6541278 Morita et al. Apr 2003 B2
6546946 Dunmire Apr 2003 B2
6550484 Gopinath et al. Apr 2003 B1
6558475 Jur et al. May 2003 B1
6561213 Wang et al. May 2003 B2
6561220 McCullough et al. May 2003 B2
6561481 Filonczuk May 2003 B1
6561767 Biberger et al. May 2003 B2
6564826 Shen May 2003 B2
20020001929 Biberger et al. Jan 2002 A1
Foreign Referenced Citations (75)
Number Date Country
251213 Aug 1948 CH
1399790 Feb 2003 CN
36 08 783 Sep 1987 DE
39 04 514 Aug 1990 DE
40 04 111 Aug 1990 DE
39 06 724 Sep 1990 DE
39 06 735 Sep 1990 DE
39 06 737 Sep 1990 DE
44 29 470 Mar 1995 DE
43 44 021 Jun 1995 DE
198 60 084 Jul 2000 DE
0 244 951 Nov 1987 EP
0 272 141 Jun 1988 EP
0 283 740 Sep 1988 EP
0 302 345 Feb 1989 EP
0 370 233 May 1990 EP
0 391 035 Oct 1990 EP
0 453 867 Oct 1991 EP
0 518 653 Dec 1992 EP
0 536 752 Apr 1993 EP
0 572 913 Dec 1993 EP
0 587 168 Mar 1994 EP
0 620 270 Oct 1994 EP
0 679 753 Nov 1995 EP
0 711 864 May 1996 EP
0 726 099 Aug 1996 EP
0 822 583 Feb 1998 EP
0 829 312 Mar 1998 EP
0 836 895 Apr 1998 EP
0 903 775 Mar 1999 EP
1499491 Sep 1967 FR
2 003 975 Mar 1979 GB
2 193 482 Nov 1987 GB
56-142629 Nov 1981 JP
60-192333 Sep 1985 JP
60-238479 Nov 1985 JP
60-246635 Dec 1985 JP
61-017151 Jan 1986 JP
61-231166 Oct 1986 JP
62-125619 Jun 1987 JP
63-303059 Dec 1988 JP
1045131 Feb 1989 JP
2-148841 Jun 1990 JP
2-209729 Aug 1990 JP
2-304941 Dec 1990 JP
7142333 Jun 1995 JP
727711 Feb 1996 JP
8-186140 Jul 1996 JP
8-206485 Aug 1996 JP
8222508 Aug 1996 JP
10-144757 May 1998 JP
10-335408 Dec 1998 JP
11-200035 Jul 1999 JP
2000-106358 Apr 2000 JP
WO 8707309 Dec 1987 WO
WO 9006189 Jun 1990 WO
WO 9013675 Nov 1990 WO
WO 9112629 Aug 1991 WO
WO 9314255 Jul 1993 WO
WO 9314259 Jul 1993 WO
WO 9320116 Oct 1993 WO
WO 9627704 Sep 1996 WO
WO 9918603 Apr 1999 WO
WO 9949998 Oct 1999 WO
WO 0036635 Jun 2000 WO
WO 0110733 Feb 2001 WO
WO 0133615 May 2001 WO
WO 0155628 Aug 2001 WO
WO 0168279 Sep 2001 WO
WO 0174538 Oct 2001 WO
WO 0178911 Oct 2001 WO
WO 0185391 Nov 2001 WO
WO 0194782 Dec 2001 WO
WO 0216051 Feb 2002 WO
WO 03030219 Oct 2003 WO
Related Publications (1)
Number Date Country
20030121535 A1 Jul 2003 US
Provisional Applications (1)
Number Date Country
60163121 Nov 1999 US
Divisions (1)
Number Date Country
Parent 09704642 Nov 2000 US
Child 10327397 US