The present disclosure relates to the processing of substrates, such as for example, semiconductor substrates. In particular, it provides a novel method to pattern substrates having very narrow pitch designs.
As geometries in substrate processing continue to shrink, the technical challenges to forming structures on substrates via photolithography techniques increase. As requirements for lower pitch structures arose, a variety of photolithography techniques have been utilized for achieving suitable photolithography for such narrow pitches including extreme ultraviolet (EUV) lithography (lithography utilizing wavelengths of light in the EUV range, most typically 13.5 nm wavelengths), multiple patterning schemes (such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP), etc.), argon fluoride (ArF) lithography, or other narrow pitch patterning methods.
It has been found that as pitches and dimensions decrease, the roughness of patterned lines increases. For example, line edge roughness (LER) performance degrades during the pattern transfer process. LER represents the departure of the edge of a line from a desired edge pattern (typically straight). Thus, the LER represents the amount of deviation from the desired edge (from a top view) and typically is represented in units of nanometers. Another measure of roughness is line width roughness (LWR). LWR represents the amount of deviation from the desired width of a line (from a top view) and is typically represented in units of nanometers. Another measure of roughness is sidewall width roughness (SWR). SWR represents the amount of deviation from the desired sidewall (typically a straight line) as viewed from a cross section view. As the feature size is reduced, the roughness (LER, LWR and SWR) has become recognized as a critical concern. The effects of roughness have become particularly problematic for EUV lithography where the photo resist height may be short and the patterned photo resist exhibits a high degree of roughness.
Conventional EUV lithography techniques attempt to address the incoming photo resist roughness by use of a plasma treatment before transferring the photo resist pattern to an underlying layer. More specifically, a patterned photo resist layer (for example a patterned EUV photo resist layer) may be formed over a plurality of underlying layers, including but not limited to antireflective layers, planarization layers, hard mask layers, target etch layers (to which the pattern of the patterned photo resist layer is to be transferred), etc. The patterned EUV photo resist layer may exhibit an unsatisfactory amount of line roughness as is known in the art. A plasma treatment may be applied to the patterned EUV photo resist layer to smooth the roughness before patterned EUV photo resist layer is used as a mask for etching one or more of the underlying layers. However, though such plasma treatment may decrease roughness, the height of the photo resist will generally be decreased. Then, during the pattern transfer to the underlying layers, the transfer process may break through the photo resist due to the decreased photo resist height and the selectivity of the process. The patterned EUV photo resist layer may even be completely removed during the pattern transfer, impacting the patterned formation in the layers underlying the photo resist. In this manner, roughness may be improved. However, the lithographic height of the photo resist may decrease and result in resist and pattern breaks caused by mask breakdown and/or critical dimension (CD) loading from mask selectivity. A trade off, therefore, exists between roughness improvement and the desired photo resist height and patterning. This trade off may be particularly problematic with regard to the use of EUV photo resists for the narrow dimensions in self-aligned multi-patterning processes (such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP), etc.).
To improve the reliability and performance of EUV lithography and self-aligned multi-patterning processes, it would be desirable to provide an improved process for reducing line roughness issues.
Described herein is an innovative method to perform photo lithography pattern transfer. A substrate is provided with a patterned layer, such as for example, a photo resist layer, which may exhibit line roughness. In one exemplary embodiment, the patterned layer may be an EUV photo resist layer utilized in a self-aligned multi-patterning process. A tone inversion process having a tone inversion layer is utilized along with a surface treatment of a sidewall of the tone inversion layer so as to improve line roughness characteristics. More specifically, a tone inversion layer may be patterned and then sidewalls of the tone inversion layer may be treated. A fill material may then be deposited upon the substrate including adjacent the sidewalls of the tone inversion layer. When the tone inversion layer is removed, the roughness of the fill material will be reduced due to the use of the sidewall treatment.
In one embodiment, a first method for processing a substrate is disclosed. The first method comprises providing the substrate with a patterned photo resist layer, and providing a tone inversion layer underlying the patterned photo resist layer. The first method further comprises etching a pattern of the patterned photo resist layer in the tone inversion layer to form first lines and first spaces in the tone inversion layer, the first lines of the tone inversion layer have a first roughness. The first method further comprises treating a sidewall surface of the tone inversion layer and forming a fill material within the first spaces of the tone inversion layer. The first method additionally comprises removing the tone inversion layer, wherein after removing the tone inversion layer, the fill material forms second lines and second spaces, the second lines and the second spaces being tone inverted from the first lines and the first spaces, the second lines of the fill material having a second roughness. In the first method, the second roughness is less than the first roughness due to the treating of the sidewall surface of the tone inversion layer.
In one alternative of the first method, the treating the sidewall surface of the tone inversion layer comprises exposing the sidewall surface of the tone inversion layer to a plasma so that an interface between the tone inversion layer and the fill material becomes more hydrophobic. Further, treating the sidewall surface of the tone inversion layer may comprise depositing an inhibitor on the sidewall surface of the tone inversion layer so that an interface between the tone inversion layer and the fill material becomes more hydrophobic. Another alternative of the first method, the treating the sidewall surface of the tone inversion layer comprises smoothing the first roughness. In another alternative of the first method, the fill material is utilized to generate mandrels in a multi-patterning process, the mandrels formed in a target etch layer underlying the fill material. In still another alternative, the fill material is utilized to generate mandrels in a multi-patterning process, the mandrels formed in the fill material. In another alternative of the first method, the first roughness is at least one of line edge roughness, line width roughness or sidewall width roughness. In still another alternative, the tone inversion layer is a spin-on carbon layer and the fill material is a spin-on glass.
In another embodiment, a second method for processing a substrate is disclosed. The second method comprises providing the substrate with a patterned photo resist layer and providing a tone inversion layer underlying the patterned photo resist layer. The second method further comprises etching a pattern of the patterned photo resist layer in the tone inversion layer to form first lines and first spaces in the tone inversion layer, the first lines of the tone inversion layer have a first roughness. The second method further comprises treating a sidewall surface of the tone inversion layer and forming a fill material within the first spaces of the tone inversion layer, wherein the treating the sidewall surface of the tone inversion layer creates a change in a surface wettability of an interface between the tone inversion layer and the fill material. The second method also comprises removing the tone inversion layer, wherein after removing the tone inversion layer, the fill material forms second lines and second spaces, the second lines and the second spaces being tone inverted from the first lines and the first spaces, the second lines of the fill material having a second roughness. In the second method, the second roughness is less than the first roughness due to the change in the surface wettability caused by the treating of the sidewall surface of the tone inversion layer.
In one alternative of the second method, treating the sidewall surface of the tone inversion layer comprises exposing the sidewall surface of the tone inversion layer to a plasma so that the interface between the tone inversion layer and the fill material becomes more hydrophobic. In one embodiment, the plasma is a fluorocarbon based plasma. In another embodiment the tone inversion layer is a spin-on carbon layer. In another alternative of the second method, treating the sidewall surface of the tone inversion layer comprises depositing an inhibitor on the sidewall surface of the tone inversion layer so that the interface between the tone inversion layer and the fill material becomes more hydrophobic. In one embodiment, the inhibitor is formed using dimethyltrimethylsilylamine (TMSDMA). In another embodiment, the fill material is a spin-on glass. In yet another embodiment of the second method, the fill material is utilized to generate mandrels in a multi-patterning process, the mandrels formed in a target etch layer underlying the fill material. In one embodiment, the tone inversion layer is a spin-on carbon layer and the fill material is a spin-on glass. In still another embodiment of the second method, the fill material is utilized to generate mandrels in a multi-patterning process, the mandrels formed in the fill material.
A more complete understanding of the present inventions and advantages thereof may be acquired by referring to the following description taken in conjunction with the accompanying drawings, in which like reference numbers indicate like features. It is to be noted, however, that the accompanying drawings illustrate only exemplary embodiments of the disclosed concepts and are therefore not to be considered limiting of the scope, for the disclosed concepts may admit to other equally effective embodiments.
Described herein is an innovative method to perform photo lithography pattern transfer. A substrate is provided with a patterned layer, such as for example, a photo resist layer, which may exhibit line roughness. In one exemplary embodiment, the patterned layer may be an EUV photo resist layer utilized in a self-aligned multi-patterning process. A tone inversion process having a tone inversion layer is utilized along with a surface treatment of a sidewall of the tone inversion layer so as to improve line roughness characteristics. More specifically, a tone inversion layer may be patterned and then sidewalls of the tone inversion layer may be treated. A fill material may then be deposited upon the substrate including adjacent the sidewalls of the tone inversion layer. When the tone inversion layer is removed, the roughness of the fill material will be reduced due to the use of the sidewall treatment.
The tone inversion and surface treatment described herein may be used singularly or in combination, and may be used with other process flows to achieve improved line roughness characteristics. As shown in
As compared to
The structure of the
As shown in
Next, the process flow proceeds to etch the antireflective layer 120 and the tone inversion layer 205 according to the pattern of the patterned photo resist layer 225 to provide the structures as shown in
The process flow may continue by removing the upper portions of the fill material 250 to expose the remaining antireflective layer 120. The removal process may be performed by a plasma etch, planarization or other removal techniques. The remaining antireflective layer 120 and the underlying tone inversion layer 205 may then be removed (or “pulled”), for example with a plasma etch, wet etch or combination of etches. The resulting pattern on the substrate 200 is shown in
Then, the fill material 250 may be used as mask during an etch of the target etch layer 115 to form mandrels. Again, such etch may be a plasma etch, though the etch of the target etch layer 115 is not limited to any particular plasma etch or even plasma etching at all.
Similar to
The impact of the sidewall treatment on the sidewalls of the tone inversion layer 205 is discussed in more detail with regard to
A variety of surface treatments may be utilized to treat the sidewalls of the spin-on carbon (SOC) tone inversion layer. Further, different treatments may result in differing surface wettability as reflected by the wet contact angle (WCA) of the spin-on glass mask (SOG) fill material. For example, without a surface treatment a WCA of 22 degrees may be observed. After using a carbon fluoride CFx plasma treatment, a WCA of 63 degrees may be observed. Further after depositing an inhibiter on the sidewalls as a surface treatment, a WCA of 95 degrees may be observed. It will be recognized that as the WCA increases, the filling of the rough sidewalls of the SOC tone inversion layer will decrease and correspondingly the line roughness will decrease. In one example line edge roughness (LER) may drop from an untreated sidewall process having an LER of 2.1 nm, to an LER of 1.83 nm for a plasma treated sidewall, and to an LER of 1.74 nm for a sidewall subjected to an inhibitor deposition.
As mentioned, a variety of surface treatment process options exist. The concepts described herein are, thus, not limited to a specific sidewall surface treatment as the advantages described herein may be obtained from various treatments. One exemplary treatment is performed by exposing the sidewalls to a plasma which changes the wettability properties of the tone inversion layer 205 sidewalls. For an SOC tone inversion layer, one such plasma is a fluorocarbon based plasma. The plasma may be generated with the use of fluorocarbon gasses and may further include other gases. The fluorocarbon gases may include, for example, a variety of CxFy gases. The fluorocarbon based plasma may be in some embodiments utilize a CF4, C4F8, and/or C4F6 chemistry. Other fluorine based plasma chemistries such as CHxFy may also be utilized. The chemistries describe polymerize the sidewall surfaces, for example forming a CF polymer. The deposited polymer films generate a non-polarized function group on the surface which inhibits the adhesion/attachment of liquid/gas onto the surface and changes the wettability. In one embodiment, the plasma sidewall treatment may be performed in-situ in the etch tool utilized to etch the tone inversion layer, right after the tone inversion layer is etched.
As mentioned above, other sidewall treatments may relate to a deposition process used for forming an inhibitor monolayer on the sidewalls of the tone inversion layer to provide the desired wettability change (and corresponding WCA change). Thus, the inhibitor inhibits the filling and conformance of the fill material that is later deposited adjacent the tone inversion layer sidewalls. In one embodiment, the inhibitor is formed by using dimethyltrimethylsilylamine (TMSDMA) in a chemical vapor deposition process that provides a silylation reaction to form to a monolayer (sub nm) thickness of —O—Si(CH3)3. Other materials may also be used to form an inhibitor, such as but not limited to, hexametyldisilazane (HMDS), Dimetylsilanedimetylamine (DMSDMA), Trimethylsilyl-pyrrole (TMS-Pyrrole), Bisdimethylamindimethylsilane BDMADMS), TrimethylDiSilazane (TMDS), or other low k restoration (LKR) chemistries. Further, the inhibitor is not limited to those described and a wide range of materials that provide a desired wettability change may be utilized, depending upon the particular tone inversion layer material and fill material utilized. The inhibitor deposition process may be a chemical vapor deposition process, atomic layer deposition process, or other thin layer deposition process. The deposition of the inhibited (for example using a TMSDMA process) forms an inhibitor film which generates a non-polarized function group on the surface which inhibits the adhesion/attachment of liquid/gas onto the surface and change the wettability.
In yet another embodiment, the material may be deposited on the sidewalls of the tone inversion layer 205 to form the treated sidewalls 230 such that the sidewalls are directly smoothed by preferentially filling valleys in the rough areas so as to reduce the relative height of rough asperities of the surface. One such smoothing approach is disclosed in US Patent Application Publication No. 2021/0020448 to Lou, Raley and Ranjan, entitled “Method and Structure for Smoothing Substrate Patterns or Surfaces,” the disclosure of which is expressly incorporated by reference. In this approach, an atomic layer deposition process is utilized that smooths out line edge roughness of a pattern feature by preferentially filling valleys in the rough surface. Using such a technique, by smoothing the differences between peaks and valleys on the sidewalls of the tone inversion layer, the fill material that is deposited adjacent the sidewalls will likewise have smoother edges when the tone inversion layer is removed. The resulting structures formed using the fill material as a mask (for example the mandrels) will correspondingly have decreased line roughness.
As discussed above, the use of a tone inversion layer and sidewall surface treatment is described as implemented in a SADP process. However, it will be recognized that the techniques are not limited to an SADP process or even a multi-patterning process. Rather, a wide range of substrate processes may advantageously utilize the techniques described herein. Moreover, the techniques disclosed herein may be utilized at any stage of the substrate process flow, for example front end of line (FEOL) processing steps and/or back end of line (BEOL) processing steps. Further, though the process described above illustrates a process flow in which the fill material is utilized as a masking layer for etching mandrels in the target etch layer, it will be recognized that other techniques may be utilized. Thus, for example, the fill material itself may serve as the mandrels without the use of a target etch layer. In such a case, the spacer deposition may be performed directly upon the patterned masking material. Such variation will be dependent upon the particular process step, the materials being utilized for the various layers, and the layer for which the final etch pattern is desired to be transferred to.
In one exemplary 40 nm pitch process, significant roughness improvement may be seen. For example, with a line critical dimension (CD) of 17 nm, and a space CD of 23 nm, the roughness of the EUV photo resist after develop may be LER 2.31 nm, LWR 3.15 nm and SWR 3.16 nm. Using the SADP described to create a 20 nm pitch of 11 nm CD lines and 9 nm CD spaces, the spacer structures may exhibit roughness measurements of LER 1.71 nm, LWR 0.92 nm, and SWR 2.07 nm (improvements of 26%, 71% and 35% respectively).
It is noted that reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments.
The term “substrate” as used herein means and includes a base material or construction upon which materials are formed. It will be appreciated that the substrate may include a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or different structures in them, etc. These materials may include semiconductors, insulators, conductors, or combinations thereof. For example, the substrate may be a semiconductor substrate, a base semiconductor layer on a supporting structure, a metal electrode or a semiconductor substrate having one or more layers, structures or regions formed thereon. The substrate may be a conventional silicon substrate or other bulk substrate comprising a layer of semi-conductive material. As used herein, the term “bulk substrate” means and includes not only silicon wafers, but also silicon-on-insulator (“SOI”) substrates, such as silicon-on-sapphire (“SOS”) substrates and silicon-on-glass (“SOG”) substrates, epitaxial layers of silicon on a base semiconductor foundation, and other semiconductor or optoelectronic materials, such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide. The substrate may be doped or undoped.
Systems and methods for processing a substrate are described in various embodiments. The substrate may include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor substrate or a layer on or overlying a base substrate structure such as a thin film. Thus, the term “substrate” is not intended to be limited to any particular base structure, underlying layer or overlying layer, patterned or unpatterned, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures. In some cases, the term “substrate” may be used to describe a patterned or unpatterned wafer.
One skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
Further modifications and alternative embodiments of the inventions will be apparent to those skilled in the art in view of this description. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the manner of carrying out the inventions. It is to be understood that the forms and method of the inventions herein shown and described are to be taken as merely exemplary embodiments. Equivalent techniques may be substituted for those illustrated and described herein and certain features of the inventions may be utilized independently of the use of other features, all as would be apparent to one skilled in the art after having the benefit of this description of the inventions.