Methods of reducing substrate dislocation during gapfill processing

Information

  • Patent Grant
  • 8975152
  • Patent Number
    8,975,152
  • Date Filed
    Monday, November 5, 2012
    11 years ago
  • Date Issued
    Tuesday, March 10, 2015
    9 years ago
Abstract
Methods of reducing dislocation in a semiconductor substrate between asymmetrical trenches are described. The methods may include etching a plurality of trenches on a semiconductor substrate and may include two adjacent trenches of unequal width separated by an unetched portion of the substrate. The methods may include forming a layer of dielectric material on the substrate. The dielectric material may form a layer in the trenches located adjacent to each other of substantially equivalent height on both sides of the unetched portion of the substrate separating the two trenches. The methods may include densifying the layer of dielectric material so that the densified dielectric within the two trenches of unequal width exerts a substantially similar stress on the unetched portion of the substrate that separates them.
Description
FIELD OF THE TECHNOLOGY

The present technology is related to semiconductor manufacturing processes and equipment. More specifically, the present technology is related to semiconductor processing techniques that reduce silicon lattice dislocation in an underlying substrate.


BACKGROUND

Semiconductor device geometries have dramatically decreased in size since their introduction decades ago. Modern semiconductor fabrication equipment routinely produces devices with 45 nm, 32 nm, and 28 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The decreasing feature sizes result in structural features on the device having decreased spatial dimensions. The widths of gaps and trenches on the device have narrowed to a point where the aspect ratio of gap depth to width becomes high enough to make it challenging to fill the gap with dielectric material. Additionally, the portions of the substrate remaining between the gaps and separating them are becoming even thinner.


When dielectric materials are deposited within and over these gaps, they affect the underlying substrate. For example, when a curing operation is performed, some dielectric materials will shrink to an extent, or densify, which may produce a force on the underlying substrate. When gaps of differing widths lie adjacent to one another, unequal forces may be imposed on opposite sides of the divide based on the amount of deposited material.


Thus, there is a need for new fabrication processes and materials that may adequately fill substrate gaps, while maintaining the substrate feature profiles. These and other benefits are provided by the disclosed technology.


BRIEF SUMMARY

Methods of reducing dislocation in a semiconductor substrate between asymmetrical trenches are described. The methods may include etching a plurality of trenches on a semiconductor substrate and may include two adjacent trenches of unequal width separated by an unetched portion of the substrate. The methods may include forming a layer of dielectric material on the substrate. The dielectric material may form a layer in the trenches located adjacent to each other of substantially equivalent height on both sides of the unetched portion of the substrate separating the two trenches. The methods may include densifying the layer of dielectric material so that the densified dielectric within the two trenches of unequal width exerts a substantially similar stress on the unetched portion of the substrate that separates them.


The methods may further include forming the dielectric material over the entire semiconductor substrate during a simultaneous deposition process step. At least one trench etched in the substrate may have a width of less than or about 100 nm, or less than or about 50 nm, and the two trenches of unequal width may have a trench width greater than or about 100 nm, or greater than or about 150 nm.


The dielectric material may be initially flowable when it is deposited on the semiconductor substrate, so that a portion of the dielectric material deposited at the top of a trench flows toward the bottom of the trench. The temperature of the substrate during the forming of the dielectric layer may be maintained below about 100° C., and the processing chamber in which the forming the dielectric occurs may be maintained at a pressure at or below about 50 Torr. In disclosed embodiments the temperature of the substrate during the forming of the dielectric layer may be maintained below about 50° C., and the processing chamber in which the forming the dielectric occurs may be maintained at a pressure at or below about 5 Torr.


The densifying performed may include curing the dielectric material in an ozone (O3) environment, and then annealing the cured dielectric material in a steam environment. The temperature of the substrate during the curing and annealing may be maintained at or below about 400° C., and may be maintained at or below about 200° C. in disclosed embodiments.


The forming of the dielectric layer may further comprise flowing a nitrogen-containing precursor into the semiconductor deposition chamber in which the etched semiconductor substrate resides, and flowing a silicon-containing precursor into the deposition chamber to come into contact with the nitrogen-containing precursor over the etched semiconductor substrate. The nitrogen-containing precursor may include ammonia, and the nitrogen-containing precursor may have been flowed through a remote plasma region prior to entering the semiconductor deposition chamber to create ammonia radicals.


Methods of forming a layer of dielectric material on a patterned semiconductor substrate are also described. The methods may include etching a plurality of trenches on a semiconductor substrate and may include two adjacent trenches of unequal width separated by an unetched portion of the substrate. The methods may include flowing a plurality of precursor gases into the deposition chamber, where the precursor gases include a silicon-containing precursor, a nitrogen-containing precursor, and an inert carrier gas. The flow rate of the nitrogen-containing precursor may be at least about two times the flow rate of the silicon-containing precursor, and the flow rate of the inert carrier gas may be at least about five times the flow rate of the silicon-containing precursor. The methods may include forming a layer of dielectric material on the substrate. The dielectric material may be initially flowable upon deposition so that a portion of the dielectric material deposited near the top of a trench flows toward the bottom of the trench. The methods may further include densifying the layer of dielectric material so that the densified dielectric within the two trenches of unequal width exerts a substantially similar stress on the unetched portion of the substrate that separates them.


Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the technology. The features and advantages of the technology may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.





BRIEF DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.



FIG. 1A shows a cross-sectional view of a semiconductor substrate on which a dielectric material has been deposited.



FIG. 1B shows a cross-sectional view of a semiconductor substrate on which a dielectric material has been deposited.



FIG. 2 shows a cross-sectional view of a semiconductor substrate on which methods according to disclosed embodiments have been performed.



FIG. 3 shows a cross-sectional view of a semiconductor substrate on which trenches have been etched.



FIG. 4 shows a flowchart of a method of reducing dislocation caused by a deposited dielectric material on a semiconductor substrate.





In the appended figures, similar components and/or features may have the same numerical reference label. Further, various components of the same type may be distinguished by following the reference label by a letter that distinguishes among the similar components and/or features. If only the first numerical reference label is used in the specification, the description is applicable to any one of the similar components and/or features having the same first numerical reference label irrespective of the letter suffix.


DETAILED DESCRIPTION

Methods are described herein for reducing dislocation of a silicon lattice in a semiconductor substrate on which trench filling is performed simultaneously in both dense and less densely patterned regions. The methods allow for the forming of a dielectric layer on a semiconductor substrate that has both a densely patterned region as well as a region having wider trenches. The two regions may have the dielectric material formed simultaneously in a single deposition processing step. The deposition may allow the trenches in the dense region to be substantially filled with the dielectric material without the formation of voids or seams, while filling wider trenches in the other region to a substantially equivalent height. A substantially equivalent height, regardless of the width of the trenches, may provide a reduction of dislocation of the substrate in the region with wider trenches during a subsequent densification of the dielectric material.


Dielectric layers deposited on substrates during semiconductor fabrication may take a variety of forms. For example, more flowable films may be used to fill narrow gaps, but may deposit a less dense, lower quality film. Conformal films may be used to fill wider trenches with a denser dielectric layer, but may create voids or seams if utilized for filling narrow trenches. Another potential issue with some flowable films is that if a thick film is deposited, subsequent densification of the film may create large stresses that are imposed on the underlying substrate. By creating a more conformal dielectric film that also possesses flowable properties, a dielectric may be formed that fills a narrow trench without voids, while at the same time produces a substantially conformal fill of wider trenches.


For example, as shown in FIG. 1A, a semiconductor substrate 100 may have a region with several narrow trenches 101A, 101B and a separate region with wider trenches 101C, 101D. If a more conventional flowable film is used for gapfilling over the entire substrate, narrow gaps 101A, 101B may be filled seamlessly because the deposited film may initially flow when deposited from the tops of the trenches towards the bottom. However, as may occur with flowable dielectrics, in wider trenches 101C, 101D an equivalent volume of dielectric may be deposited in both trenches. Hence, less wide trench 101D may be filled to a greater height than wider trench 101C. Because flowable films have a lower density, the subsequent densification of the film may be accompanied by film shrinkage. As the film shrinks, a stress is imposed on the underlying substrate. The more material that shrinks, i.e. a trench that is filled to a greater height, the more stress may be imposed on the substrate. Hence for trenches of unequal width 101C, 101D the portion of the substrate in between the trenches 103 may have a disproportionate stress imposed on each side due to the unequal height of the fill. If the stress is great enough, the structure of the substrate, e.g., a silicon lattice, may have deformation occur or stacking faults form, which may weaken the integrity of the substrate. In more extreme cases, this deformation may result in unetched portion 103 collapsing.


Alternatively, as shown in FIG. 1B, the same substrate trenches may be filled with a more conventional conformal film that fills trenches from the sidewalls in toward the center, regardless of the width of the trench. A higher quality film may be formed that fills wider trenches 101C, 101D to a substantially similar height, thereby producing a substantially equivalent stress on the unetched portion of the substrate 103 between the two trenches. However, in the narrower trenches 101A, 101B, the more conformal filling that forms from the sidewalls of the trench towards the center, may produce seams or breadloafing, which occurs when the film pinches off the top of the trench despite that the trench has not been filled. Because of these issues occurring between narrower and wider trenches, many conventional methods of substrate processing may involve separately patterning and subsequently filling more densely patterned regions of the substrate separately from less densely patterned regions. In this way, a more flowable film may be used for deposition in the narrow regions, and a more conformal film may be used for deposition in the wider regions. The inventors have surprisingly found that a more conformal film displaying flowable properties may be able to overcome the separate issues described above, and be used to fill narrow trenches without producing voids, while filling wider trenches in a more conformal manner to a similar height.


Methods of reducing dislocation in a semiconductor substrate between asymmetrical trenches are described. The methods may include etching a plurality of trenches on a semiconductor substrate, and may include two adjacent trenches of unequal width that are separated by an unetched portion of the substrate. The methods may further include forming a layer of dielectric material on the substrate. The dielectric material may form a substantially uniform layer in the trenches so that the two trenches of unequal width are filled to a substantially equivalent height on both sides of the unetched portion of the substrate separating them. The methods may include densifying the layer of dielectric material so that the densified dielectric within the two trenches of unequal width exerts a substantially similar stress on the unetched portion of the substrate that separates them.



FIG. 2 is a cross-sectional view of a semiconductor substrate 200. Although not to scale, the substrate illustrates two configured regions 205 and 207. In one embodiment, the substrate may represent the cell region and periphery circuit region of a flash semiconductor substrate. A manufacturing process may be performed to create the structure as illustrated in the figure, and described herein. An insulating layer of dielectric material 210 may be deposited on the substrate regions 205, 207 and may serve as an insulating layer, or tunnel oxide as it is referred for a cell region and a gate insulating layer in a peripheral region. The tunnel oxide 210 may be a high quality dielectric such as a thermal oxide, or may alternatively be deposited by other HDP-CVD or LPCVD processes. The tunnel oxide 210 may be any high quality oxide, and may be, for example, a thermally grown silicon oxide.


For semiconductor substrates used for flash devices such as NAND type flash, gate electrodes may be formed in both the cell region and the peripheral circuit region. A floating gate material 215 may be deposited on the tunnel oxide 210. The floating gate material 215 may be a polysilicon, silicon nitride, silicon oxide, or silicon oxy-nitride, a metalized oxide, or another material that may limit back-tunneling of stored charge. The floating gate material 215 may be deposited by an HDP-CVD, LPCVD, PVD, or other process for depositing suitable films.


Subsequent deposition of protective layers such as a nitride stop-layer, and an oxide mask layer (neither shown) may be deposited. The mask layer may be deposited to create a pattern for the isolation of structures on the substrate. As shown in FIG. 2, trenches may be etched in both the cell region 205 and the peripheral circuit region 207. The trenches may be of various depths and widths, and may be from less than about 10 nm in width to more than about 2 μm in width. The trenches etched in the cell region may have cell widths that are less than about 200 nm, and may also be less than about 150 nm, about 100 nm, about 80 nm, about 60 nm, about 50 nm, about 40 nm, about 30 nm, about 20 nm, about 10 nm, etc. or less. The trenches in the periphery circuit region may have cell widths that are greater than about 100 nm, and may also be greater than about 150 nm, about 200 nm, about 350 nm, about 500 nm, about 750 nm, about 1 μm, about 1.5 μm, about 2 μm, etc. or more.


The patterning may be performed simultaneously over both the dense cell region as well as the peripheral circuit region thereby reducing the number of processing steps required for the manufacturing of the substrate. The trenches etched in the structure may vary between the cell region and the peripheral circuit region. For example, the trenches etched in the cell region may have trench widths that are less than about 50 nanometers, while the trenches etched in the peripheral circuit region may have trench widths that are greater than about 150 nm wide.


After the trenches have been etched, a dielectric material 220 may be deposited to create isolation regions on the semiconductor substrate. The dielectric may be deposited simultaneously on both the dense cell region of the substrate and the peripheral region that may contain wider trenches. The dielectric material 220 may exhibit a balance between flowable and conformal properties so that it may display the following properties: the dielectric may substantially fill the trenches in the dense cell region without producing voids or seams, and may also form a layer of dielectric in the peripheral region that may fill trenches of different width to a substantially equivalent height. The filling of the dense cell regions without voids and seams may be performed by utilizing a dielectric material that displays flowable properties upon deposition such that a portion of the dielectric material deposited near the top of the trench flows toward the bottom. The dielectric material may also be limited in the flowable properties such that dielectric material deposited in the peripheral circuit region may fill asymmetrical trenches to a substantially equivalent height. The balance of properties may be provided by increasing the amount of a radical precursor gas and carrier gas, which provides a more conformal deposition to a flowable film.


After the dielectric material 220 has been deposited, a second layer of dielectric material 225, or a capping layer, may be deposited that fills the trenches in the peripheral region as well as completing the filling of any trenches in the cell region that were not filled by the initial dielectric material 220. The capping layer 225 may be deposited in the same or a different manner as dielectric 220, and may be deposited via HARP or E-HARP, for example. Subsequent to the deposition of the dielectric material layers, additional processing steps may be performed. For example, an etching or planarization step may be performed in order to remove excess material and expose the floating gate dielectric 215 in the cell region 205. An inter-gate dielectric may be deposited thereon in preparation for the deposition of a control gate to create a stacked gate structure in the cell region. The peripheral circuit region may contain electrodes of a single gate structure. Additional materials may then be deposited to form additional layers of structure.


The peripheral region 207 may have multiple trenches etched, as illustrated in FIG. 3. For example, the peripheral circuit region 207 may include two trenches of unequal width 350A, 350B that are located adjacently to one another. The trenches are thus separated by an unetched portion of the semiconductor substrate 309. Because flowable dielectrics may deposit a certain volume of dielectric material, if a general flowable dielectric were deposited in the trenches, the wider trench 350A may not be filled to the same height as the other trench 350B, because the same amount of dielectric deposited in each trench would fill to a disparate height. Were this to happen, when the deposited film was cured, an unequal stress may be imposed on each side of the unetched portion of the semiconductor substrate 309. In certain scenarios, the unequal stress may create stacking faults or dislocation within the silicon lattice, or may even cause the structure 309 to deform. Thus, the dielectric material that may be used in the trenches may be less flowable so that the dielectric material fills the trenches of unequal width 350A, 350B to a substantially similar height, although the material may still display flowable properties.



FIG. 4 shows a method 400 for reducing dislocation caused by a deposited dielectric material on a semiconductor substrate. The semiconductor substrate may be an unpatterned or patterned substrate, e.g. an unpatterned 300 mm silicon wafer for use as a nonvolatile semiconductor memory device such as a NAND type flash device. The method may initially include the optional step 410 of depositing material on the semiconductor substrate. The deposition 410 may include an initial layer of dielectric material that may function as a tunnel oxide, for example. A gate material such as, for example, polysilicon may be deposited on the tunnel oxide. Additional protective material and mask material may be deposited to form a pattern for etching.


Trenches may be etched 415 that create trenches for use in both a cell region of the substrate as well as a peripheral circuit region on the substrate. The trenches may be of various widths, and the trenches in the peripheral circuit region may be wider than the trenches etched in the cell region. The trenches may be etched in both the cell region and the peripheral circuit region simultaneously to limit the number of processing steps required overall. The trenches etched in the peripheral region may include two adjacent trenches of unequal width that are separated by an unetched portion of the semiconductor substrate.


A dielectric layer may be formed 420 over the semiconductor substrate on both the cell region and the peripheral circuit region. The dielectric material may be deposited by a CVD process and display flowable properties such that a portion of the dielectric material deposited near the top of a trench flows toward the bottom. Additionally, the dielectric material may form in the peripheral circuit region such that the adjacent trenches of unequal width are filled to a substantially similar height.


Subsequent to the deposition of the dielectric material, the dielectric may be densified 425 to convert the structure that may include Si—H and Si—N bonds to Si—O bond, and reduce the porosity of the dielectric material. The densification may include a curing performed in an ozone environment as well as an annealing performed in a steam environment. The curing and annealing may be performed while the temperature of the substrate is maintained below about 600° C., or alternatively below about 500° C., about 400° C., about 300° C., about 200° C., about 100° C., etc. or less. Alternatively, the curing step may be performed while the temperature of the substrate is maintained between about 100° C. and about 300° C., or between about 150° C. and about 200° C. The annealing step may be performed while the temperature of the substrate is maintained between about 100° C. and about 500° C., or between about 200° C. and about 400° C. The densification may result in a shrinkage of the deposited dielectric. As the material shrinks, the material may impose a tensile stress on the surrounding substrate structure. The lattice structure of the substrate may deform to an extent to address the imposed stress, and may shift to accommodate the stress. In areas where a disproportionate stress is imposed on the same structure, such as, for example, in adjacent trenches of unequal width, the competing stresses of differing magnitude may cause dislocations and/or stacking faults in the underlying structure, which may cause the substrate to deform or collapse. Thus, the height of the dielectric material deposited in the trenches may be substantially equal to reduce the chance of deformation.


Additional dielectric materials may be deposited 430 to fill any remaining trench volume on the substrate. The additional material may be deposited by a similar process, or alternatively may be deposited with a separate deposition technique such as, for example, a CVD technique such as E-HARP. The additional material may also be annealed after deposition and, the annealing may be performed above about 600° C., or alternatively above about 700° C., about 800° C., about 900° C., about 1000° C., about 1200° C., etc. or more.


Methods are also described for forming a layer of dielectric material on a patterned semiconductor substrate. The methods may include etching a plurality of trenches on a semiconductor substrate to form a pattern. The pattern may have a cell region of the substrate and a periphery circuit region of the substrate. The plurality of trenches may include at least two trenches in the periphery circuit region that are of unequal width and are located adjacent to each other such that an unetched portion of the substrate separates the two trenches. The plurality of trenches may also include at least one trench in the cell region of the substrate. The methods may include flowing a plurality of precursor gases into the deposition chamber, where the precursor gases include a silicon containing precursor, a nitrogen containing precursor, and an inert carrier gas. The flow rate of the nitrogen containing precursor may be at least about two times the flow rate of the silicon containing precursor, and the flow rate of the inert carrier gas may be at least about five times the flow rate of the silicon containing precursor. The methods may also include forming a layer of dielectric material on the semiconductor substrate on both the cell region and the periphery circuit region simultaneously. The dielectric material may be initially flowable upon deposition so that a portion of the dielectric material deposited near the top of a trench flows toward the bottom of the trench. The methods may further include subsequently densifying the layer of dielectric material.


The precursor gases may include a silicon-based precursor, and may include silyl-amines such as H2N(SiH3), HN(SiH3)2, and N(SiH3)3, among other silyl-amines. These silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both. Examples of carbon-free silicon precursors may also include silane (SiH4) either alone or mixed with other silicon (e.g., N(SiH3)3), hydrogen (e.g., H2), and/or nitrogen (e.g., N2, NH3) containing gases. The silicon-containing precursors may also include silicon compounds that have no carbon or nitrogen, such as silane, disilane, etc. If the deposited oxide film is a doped oxide film, dopant precursors may also be used such as TEB, TMB, B2H6, TEPO, PH3, P2H6, and TMP, among other boron and phosphorous dopants. The flow rate of the silicon precursor may be greater than or about 100 sccm, greater than or about 200 sccm, greater than or about 250 sccm, greater than or about 275 sccm, greater than or about 300 sccm, greater than or about 350 sccm, greater than or about 400 sccm, etc. or more in different embodiments


The precursors may include a nitrogen based precursor, and may include nitrogen as a radical precursor that passes through a remote plasma region prior to entering into the deposition chamber. When nitrogen is present in the radical precursor, it may be referred to as a radical-nitrogen precursor. The radical-nitrogen precursor includes plasma effluents created by exciting a more stable nitrogen-containing precursor in a plasma. For example, a relatively stable nitrogen-containing precursor containing NH3 and/or hydrazine (N2H4) may be activated in a chamber plasma region or a remote plasma system (RPS) outside the processing chamber to form the radical-nitrogen precursor, which is then transported into a plasma-free substrate processing region. The stable nitrogen precursor may also be a mixture comprising NH3 and N2; NH3 and H2; NH3, N2, and H2; and N2 and H2, in different embodiments. Hydrazine may also be used in place of or in combination with NH3 in the mixtures with N2 and H2. The flow rate of the stable nitrogen precursor may be greater than or about 300 sccm, greater than or about 400 sccm, greater than or about 500 sccm, greater than or about 600 sccm, greater than or about 650 sccm, greater than or about 700 sccm, greater than or about 750 sccm, greater than or about 800 sccm, etc. or more in different embodiments. Nitrogen-containing precursors may also include N2O, NO, NO2 and NH4OH. The radical-nitrogen precursor produced may include one or more of —N, —NH, —NH2, etc., and may also be accompanied by ionized species formed in the plasma. In other embodiments, the radical-nitrogen precursor is generated in a section of the processing chamber partitioned from the substrate processing region where the precursors mix and react to deposit the silicon-and-nitrogen layer on a deposition substrate (e.g., a semiconductor wafer). The partition may be interchangeably referred to as a showerhead. The radical-nitrogen precursor may also be accompanied by a carrier gas such as argon, helium, etc.


The flowability may be due, at least in part, to a significant hydrogen component in the deposited film. For example the deposited film may have a silazane-type, Si—NH—Si backbone (i.e., a Si—N—H film). Flowability may also result from short chained polymers of the silazane type. The nitrogen which allows the formation of short chained polymers and flowability may originate from either the radical precursor or the silicon-containing precursor. When both the silicon precursor and the radical-nitrogen precursor are carbon-free, the deposited silicon-and-nitrogen-containing film is also substantially carbon-free. Of course, “carbon-free” does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen-containing film. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.).


One or more additional gases and carrier gases may be included with the precursors, such as O2, H2O, Ar, H2, N2, He, etc., and may have a flow rate that is greater than or about 100 sccm. Alternatively, the one or more carrier gases may have a flow rate that is greater than or about 200 sccm, greater than or about 500 sccm, greater than or about 750 sccm, greater than or about 1000 sccm, greater than or about 1500 sccm, greater than or about 2000 sccm, greater than or about 2500 sccm, greater than or about 3000 sccm, greater than or about 3500 sccm, etc. or more. Additional gases including molecular oxygen, ozone, and/or water vapor may be included with the precursor gases as well.


Without being limited to any particular theory, the ability to produce a substantially conformal film that displays flowable film properties may be a result of an increase of ammonia radicals during the deposition process. The increase in ammonia radicals may be as a result of increasing the amount of ammonia and carrier gas delivered to the processing chamber. The increased amount of ammonia provides additional gas from which the radicals may be formed, and the increased amount of carrier gas may allow for a greater amount of dissociation from the ammonia. Hence, an enhanced amount of ammonia radials may be created and may produce a more conformal film when deposited. A conformal film that displays flowable properties may be produced from the precursor gases as a function of the silicon precursor gas used. For example, the nitrogen containing precursor, which may be ammonia in one embodiment, may have a flow rate that is at least about two times the flow rate of the silicon containing precursor. Alternatively, the nitrogen containing precursor may be at least about 2.1, about 2.2, about 2.3, about 2.4, about 2.5, about 2.6, about 2.7, about 2.8, about 2.9, about 3, about 3.5, about 4, or about 5 times the flow rate of the silicon containing precursor. Additionally, the carrier gas may be at least about five times the flow rate of the silicon containing precursor. Alternatively, the carrier gas may be at least about 6, about 7, about 8, about 9, about 10, about 11, about 12, about 13, about 15, about 17, about 20, or about 25 times the flow rate of the silicon containing precursor.


The deposition may be performed in a deposition chamber in which the temperature of the substrate is maintained below about 600° C., or alternatively below about 500° C., about 400° C., about 300° C., about 200° C., about 100° C., about 75° C., about 65° C., about 50° C., about 40° C., about 30° C., etc. or less. The pressure maintained in the chamber may be at or below about 760 Torr, and may alternatively be below about 600 Torr, about 400 Torr, about 200 Torr, about 100 Torr, about 50 Torr, about 25 Torr, about 15 Torr, about 10 Torr, about 8 Torr, about 6 Torr, about 5 Torr, about 4 Torr, about 3 Torr, about 2 Torr, about 1 Torr, about 0.5 Torr, etc. or less.


In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present invention. It will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details.


Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.


It is noted that individual embodiments may be described as a process which is depicted as a flowchart, a flow diagram, or a block diagram. Although a flowchart may describe the method as a sequential process, many of the operations may be performed in parallel or concurrently. In addition, the order of the operations may be rearranged. A process may be terminated when its operations are completed, but could have additional steps not discussed or included in a figure. Furthermore, not all operations in any particularly described process may occur in all embodiments. A process may correspond to a method, a function, a procedure, a subroutine, a subprogram, etc. When a process corresponds to a function, its termination corresponds to a return of the function to the calling function or the main function.


Where a range of values is provided, it is understood that each intervening value between the upper and lower limits of that range is also specifically disclosed, to the smallest fraction of the unit or value of the lower limit, unless the context clearly dictates otherwise. Any encompassed range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is disclosed. The upper and lower limits of those smaller ranges may independently be included or excluded in the range, and each range where either, neither, or both limits are included in the smaller range is also disclosed and encompassed within the technology, subject to any specifically excluded limit, value, or encompassed range in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.


As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural references unless the context clearly dictates otherwise. Thus, for example, reference to “a dielectric material” includes a plurality of such materials, and reference to “the process” includes reference to one or more processes and equivalents thereof known to those skilled in the art, and so forth.


Also, the words “comprise”, “comprising”, “include”, “including”, and “includes”, when used in this specification and in the following claims, are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims
  • 1. A method of forming a layer of dielectric material on a patterned semiconductor substrate, the method comprising: etching a plurality of trenches on a semiconductor substrate, wherein the plurality of trenches includes two trenches that are of unequal width and are located adjacent to each other such that an unetched portion of the substrate separates the two trenches of unequal width;forming a layer of dielectric material on the semiconductor substrate, wherein the dielectric material forms a layer in the trenches located adjacent to each other of substantially equivalent height on both sides of the unetched portion of the substrate separating the two trenches; anddensifying the layer of dielectric material, wherein the densified dielectric within the two trenches of unequal width exerts substantially equal stress on the unetched portion of the substrate;wherein the densifying comprises:curing the dielectric material in an ozone (03) environment; andannealing the cured dielectric material in a steam environment;wherein the forming of the dielectric layer further comprises:flowing a nitrogen-containing precursor into a semiconductor deposition chamber in which the etched semiconductor substrate resides; andflowing a silicon-containing precursor into the deposition chamber to come into contact with the nitrogen-containing precursor over the etched semiconductor substrate.
  • 2. The method of claim 1, wherein the layer of dielectric material is initially formed over the entire semiconductor substrate during a simultaneous deposition process step.
  • 3. The method of claim 1, wherein at least one trench etched in the substrate has a trench width less than about 100 nanometers, and wherein the two trenches of unequal width have a trench width greater than about 100 nanometers.
  • 4. The method of claim 3, wherein at least one trench etched in the substrate has a trench width less than about 50 nanometers, and wherein the two trenches of unequal width have a trench width greater than about 150 nanometers.
  • 5. The method of claim 1, wherein the dielectric material is initially flowable when it is deposited on the semiconductor substrate so that a portion of the dielectric material deposited at the top of a trench flows toward the bottom of the trench.
  • 6. The method of claim 1, wherein the temperature of the substrate during the forming of the dielectric layer is maintained below about 100° C., and wherein the forming of the dielectric layer on the substrate occurs in a processing chamber having a pressure below about 50 Torr.
  • 7. The method of claim 6, wherein the temperature of the substrate during the forming of the dielectric layer is maintained below about 50° C., and wherein the forming of the dielectric layer on the substrate occurs in a processing chamber having a pressure below about 5 Torr.
  • 8. The method of claim 1, wherein the temperature of the substrate during the curing and annealing is maintained at or below about 400° C.
  • 9. The method of claim 8, wherein the temperature of the substrate during the curing is maintained at or below about 200° C.
  • 10. The method of claim 1, wherein the nitrogen-containing precursor is ammonia.
  • 11. The method of claim 10, wherein the ammonia has been flowed through a remote plasma region prior to entering the semiconductor deposition chamber to create ammonia radicals.
  • 12. A method of forming a layer of dielectric material on a patterned semiconductor substrate, the method comprising: etching a plurality of trenches on a semiconductor substrate, wherein the plurality of trenches includes two trenches that are of unequal width and are located adjacent to each other such that an unetched portion of the substrate separates the two trenches of unequal width;flowing a plurality of precursor gases into the deposition chamber, wherein:the precursor gases comprise a silicon containing precursor, a nitrogen containing precursor, and an inert carrier gas,the flow rate of the nitrogen containing precursor is at least about two times the flow rate of the silicon containing precursor, andthe flow rate of the inert carrier gas is at least about five times the flow rate of the silicon containing precursor;forming a layer of dielectric material on the semiconductor substrate, wherein the dielectric material is initially flowable upon deposition so that a portion of the dielectric material deposited near the top of a trench flows toward the bottom of the trench; anddensifying the layer of dielectric material;wherein the formed dielectric layer forms a layer in the trenches located adjacent to each other of substantially equivalent height on both sides of the unetched portion of the substrate separating the two trenches;wherein the densifying comprises:curing the dielectric material in an ozone (03) environment; andannealing the cured dielectric material in a steam environment.
  • 13. The method of claim 12, wherein the densified dielectric within the two trenches of unequal width exerts substantially equal stress on the unetched portion of the substrate.
  • 14. The method of claim 12, wherein the temperature of the substrate during the curing and annealing is maintained at or below about 400° C.
  • 15. The method of claim 12, wherein the nitrogen-containing precursor is ammonia.
  • 16. The method of claim 15, wherein the ammonia has been flowed through a remote plasma region prior to entering the semiconductor deposition chamber to create ammonia radicals.
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Provisional Application No. 61/557,249, filed Nov. 8, 2011, entitled “Methods of Reducing Substrate Dislocation During Gapfill Processing,” the entire disclosure of which is hereby incorporated by reference for all purposes.

US Referenced Citations (593)
Number Name Date Kind
2369620 Sullivan et al. Feb 1945 A
3451840 Hough Jun 1969 A
3937857 Brummett et al. Feb 1976 A
4006047 Brummett et al. Feb 1977 A
4209357 Gorin et al. Jun 1980 A
4214946 Forget et al. Jul 1980 A
4232060 Mallory et al. Nov 1980 A
4234628 DuRose Nov 1980 A
4265943 Goldstein et al. May 1981 A
4364803 Nidola et al. Dec 1982 A
4368223 Kobayashi et al. Jan 1983 A
4397812 Mallory, Jr. Aug 1983 A
4468413 Bachmann Aug 1984 A
4565601 Kakehi et al. Jan 1986 A
4571819 Rogers et al. Feb 1986 A
4579618 Celestino et al. Apr 1986 A
4585920 Hoog et al. Apr 1986 A
4632857 Mallory, Jr. Dec 1986 A
4656052 Satou et al. Apr 1987 A
4690746 McInerney et al. Sep 1987 A
4714520 Gwozdz Dec 1987 A
4749440 Blackwood et al. Jun 1988 A
4753898 Parrillo et al. Jun 1988 A
4807016 Douglas Feb 1989 A
4810520 Wu Mar 1989 A
4816638 Ukai et al. Mar 1989 A
4851370 Doklan et al. Jul 1989 A
4865685 Palmour Sep 1989 A
4872947 Wang et al. Oct 1989 A
4886570 Davis et al. Dec 1989 A
4892753 Wang et al. Jan 1990 A
4894352 Lane et al. Jan 1990 A
4904341 Blaugher et al. Feb 1990 A
4951601 Maydan et al. Aug 1990 A
4960488 Law et al. Oct 1990 A
4981551 Palmour Jan 1991 A
4985372 Narita Jan 1991 A
4994404 Sheng et al. Feb 1991 A
5000113 Wang et al. Mar 1991 A
5013691 Lory et al. May 1991 A
5030319 Nishino et al. Jul 1991 A
5061838 Lane et al. Oct 1991 A
5089441 Moslehi Feb 1992 A
5089442 Olmer Feb 1992 A
5147692 Bengston Sep 1992 A
5156881 Okano et al. Oct 1992 A
5186718 Tepman et al. Feb 1993 A
5198034 deBoer et al. Mar 1993 A
5203911 Sricharoenchalkit et al. Apr 1993 A
5215787 Homma Jun 1993 A
5228501 Tepman et al. Jul 1993 A
5231690 Soma et al. Jul 1993 A
5235139 Bengston et al. Aug 1993 A
5238499 van de Ven et al. Aug 1993 A
5240497 Shacham et al. Aug 1993 A
5248527 Uchida et al. Sep 1993 A
5252178 Moslehi Oct 1993 A
5270125 America et al. Dec 1993 A
5271972 Kwok et al. Dec 1993 A
5275977 Otsubo et al. Jan 1994 A
5279865 Chebi et al. Jan 1994 A
5288518 Homma Feb 1994 A
5290382 Zarowin et al. Mar 1994 A
5302233 Kim et al. Apr 1994 A
5306530 Stronglin et al. Apr 1994 A
5314724 Tsukune et al. May 1994 A
5316804 Tomikawa et al. May 1994 A
5319247 Matsuura Jun 1994 A
5328558 Kawamura et al. Jul 1994 A
5328810 Lowrey et al. Jul 1994 A
5334552 Homma Aug 1994 A
5345999 Hosokawa Sep 1994 A
5352636 Beinglass Oct 1994 A
5362526 Wang et al. Nov 1994 A
5368897 Kurihara et al. Nov 1994 A
5380560 Kaja et al. Jan 1995 A
5382311 Ishikawa et al. Jan 1995 A
5384284 Doan et al. Jan 1995 A
5385763 Okano et al. Jan 1995 A
5399529 Homma Mar 1995 A
5403434 Moslehi Apr 1995 A
5413967 Matsuda et al. May 1995 A
5415890 Kloiber et al. May 1995 A
5416048 Blalock et al. May 1995 A
5420075 Homma et al. May 1995 A
5429995 Nishiyama et al. Jul 1995 A
5439553 Grant et al. Aug 1995 A
5451259 Krogh Sep 1995 A
5468342 Nulty et al. Nov 1995 A
5474589 Ohga et al. Dec 1995 A
5478462 Walsh Dec 1995 A
5483920 Pryor Jan 1996 A
5500249 Telford et al. Mar 1996 A
5505816 Barnes et al. Apr 1996 A
5510216 Calabrese et al. Apr 1996 A
5516367 Lei et al. May 1996 A
5531835 Fodor et al. Jul 1996 A
5534070 Okamura et al. Jul 1996 A
5536360 Nguyen et al. Jul 1996 A
5549780 Koinuma et al. Aug 1996 A
5558717 Zhao et al. Sep 1996 A
5560779 Knowles et al. Oct 1996 A
5563105 Dobuzinsky et al. Oct 1996 A
5571576 Qian et al. Nov 1996 A
5578130 Hayashi et al. Nov 1996 A
5591269 Arami et al. Jan 1997 A
5599740 Jang et al. Feb 1997 A
5624582 Cain Apr 1997 A
5626922 Miyanaga et al. May 1997 A
5645645 Zhang et al. Jul 1997 A
5648125 Cane Jul 1997 A
5648175 Russell et al. Jul 1997 A
5656093 Burkhart et al. Aug 1997 A
5661093 Ravi et al. Aug 1997 A
5674787 Zhao et al. Oct 1997 A
5679606 Wang et al. Oct 1997 A
5695810 Dubin et al. Dec 1997 A
5712185 Tsai et al. Jan 1998 A
5716500 Bardos et al. Feb 1998 A
5716506 Maclay et al. Feb 1998 A
5719085 Moon et al. Feb 1998 A
5733816 Iyer et al. Mar 1998 A
5747373 Yu May 1998 A
5755859 Brusic et al. May 1998 A
5756402 Jimbo et al. May 1998 A
5781693 Ballance et al. Jul 1998 A
5786276 Brooks et al. Jul 1998 A
5789300 Fulford Aug 1998 A
5800686 Littau et al. Sep 1998 A
5804259 Robles Sep 1998 A
5812403 Fong et al. Sep 1998 A
5820723 Benjamin et al. Oct 1998 A
5824599 Schacham-Diamand et al. Oct 1998 A
5830805 Schacham-Diamand et al. Nov 1998 A
5838055 Kleinhenz et al. Nov 1998 A
5843538 Ehrsam et al. Dec 1998 A
5844195 Fairbairn et al. Dec 1998 A
5846332 Zhao et al. Dec 1998 A
5846375 Gilchrist et al. Dec 1998 A
5846598 Semkow et al. Dec 1998 A
5849639 Molloy et al. Dec 1998 A
5850105 Dawson et al. Dec 1998 A
5855681 Maydan et al. Jan 1999 A
5856240 Sinha et al. Jan 1999 A
5858876 Chew Jan 1999 A
5872052 Iyer Feb 1999 A
5872058 Van Cleemput et al. Feb 1999 A
5882786 Nassau et al. Mar 1999 A
5885404 Kim et al. Mar 1999 A
5885749 Huggins et al. Mar 1999 A
5888906 Sandhu et al. Mar 1999 A
5891349 Tobe et al. Apr 1999 A
5891513 Dubin et al. Apr 1999 A
5897751 Makowiecki et al. Apr 1999 A
5899752 Hey et al. May 1999 A
5904827 Reynolds May 1999 A
5907790 Kellam May 1999 A
5910340 Uchida et al. Jun 1999 A
5913140 Roche et al. Jun 1999 A
5913147 Dubin et al. Jun 1999 A
5915190 Pirkle Jun 1999 A
5920792 Lin Jul 1999 A
5932077 Reynolds et al. Aug 1999 A
5933757 Yoshikawa et al. Aug 1999 A
5935334 Fong et al. Aug 1999 A
5937323 Orczyk et al. Aug 1999 A
5939831 Fong et al. Aug 1999 A
5942075 Nagahata et al. Aug 1999 A
5944902 Redeker et al. Aug 1999 A
5951601 Lesinski et al. Sep 1999 A
5951776 Selyutin et al. Sep 1999 A
5953635 Andideh Sep 1999 A
5968610 Liu et al. Oct 1999 A
5969422 Ting et al. Oct 1999 A
5976327 Tanaka Nov 1999 A
5990000 Hong et al. Nov 1999 A
5990013 Berenguer et al. Nov 1999 A
5993916 Zhao et al. Nov 1999 A
6010962 Liu et al. Jan 2000 A
6013191 Nasser-Faili et al. Jan 2000 A
6013584 M'Saad Jan 2000 A
6015724 Yamazaki et al. Jan 2000 A
6015747 Lopatin et al. Jan 2000 A
6020271 Yanagida Feb 2000 A
6030666 Lam et al. Feb 2000 A
6030881 Papasouliotis et al. Feb 2000 A
6035101 Sajoto et al. Mar 2000 A
6037018 Jang et al. Mar 2000 A
6037266 Tao et al. Mar 2000 A
6039851 Iyer Mar 2000 A
6053982 Halpin et al. Apr 2000 A
6059643 Hu et al. May 2000 A
6063683 Wu et al. May 2000 A
6063712 Gilton et al. May 2000 A
6065424 Shacham-Diamand et al. May 2000 A
6072227 Yau et al. Jun 2000 A
6077780 Dubin Jun 2000 A
6080529 Ye et al. Jun 2000 A
6083344 Hanawa et al. Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6087278 Kim et al. Jul 2000 A
6093594 Yeap et al. Jul 2000 A
6099697 Hausmann Aug 2000 A
6107199 Allen et al. Aug 2000 A
6110530 Chen et al. Aug 2000 A
6110836 Cohen et al. Aug 2000 A
6113771 Landau et al. Sep 2000 A
6117245 Mandrekar et al. Sep 2000 A
6136163 Cheung et al. Oct 2000 A
6136685 Narwankar et al. Oct 2000 A
6136693 Chan et al. Oct 2000 A
6140234 Uzoh et al. Oct 2000 A
6144099 Lopatin et al. Nov 2000 A
6147009 Grill et al. Nov 2000 A
6149828 Vaartstra Nov 2000 A
6150628 Smith et al. Nov 2000 A
6153935 Edelstein et al. Nov 2000 A
6165912 McConnell et al. Dec 2000 A
6167834 Wang et al. Jan 2001 B1
6169021 Akram et al. Jan 2001 B1
6170428 Redeker et al. Jan 2001 B1
6171661 Zheng et al. Jan 2001 B1
6174812 Hsuing et al. Jan 2001 B1
6176198 Kao et al. Jan 2001 B1
6177245 Ward et al. Jan 2001 B1
6179924 Zhao et al. Jan 2001 B1
6180523 Lee et al. Jan 2001 B1
6182602 Redeker et al. Feb 2001 B1
6189483 Ishikawa et al. Feb 2001 B1
6190233 Hong et al. Feb 2001 B1
6191026 Rana et al. Feb 2001 B1
6194038 Rossman Feb 2001 B1
6197181 Chen Mar 2001 B1
6197364 Paunovic et al. Mar 2001 B1
6197680 Lin et al. Mar 2001 B1
6197688 Simpson Mar 2001 B1
6197705 Vassiliev Mar 2001 B1
6203863 Liu et al. Mar 2001 B1
6204200 Shieh et al. Mar 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6228233 Lakshmikanthan et al. May 2001 B1
6228751 Yamazaki et al. May 2001 B1
6228758 Pellerin et al. May 2001 B1
6235643 Mui et al. May 2001 B1
6238513 Arnold et al. May 2001 B1
6238582 Williams et al. May 2001 B1
6241845 Gadgil et al. Jun 2001 B1
6242349 Nogami et al. Jun 2001 B1
6245670 Cheung et al. Jun 2001 B1
6251236 Stevens Jun 2001 B1
6251802 Moore et al. Jun 2001 B1
6258220 Dordi et al. Jul 2001 B1
6258223 Cheung et al. Jul 2001 B1
6258270 Hilgendorff et al. Jul 2001 B1
6261637 Oberle Jul 2001 B1
6277752 Chen Aug 2001 B1
6291348 Lopatin et al. Sep 2001 B1
6312995 Yu Nov 2001 B1
6313035 Sandhu et al. Nov 2001 B1
6319387 Krishnamoorthy et al. Nov 2001 B1
6323128 Sambucetti et al. Nov 2001 B1
6335261 Natzle et al. Jan 2002 B1
6335288 Kwan et al. Jan 2002 B1
6340435 Bjorkman et al. Jan 2002 B1
6342733 Hu et al. Jan 2002 B1
6344410 Lopatin et al. Feb 2002 B1
6350320 Sherstinsky et al. Feb 2002 B1
6351013 Luning et al. Feb 2002 B1
6364949 Or et al. Apr 2002 B1
6364954 Umotoy et al. Apr 2002 B2
6364957 Schneider et al. Apr 2002 B1
6372657 Hineman et al. Apr 2002 B1
6375748 Yudovsky et al. Apr 2002 B1
6379575 Yin et al. Apr 2002 B1
6383951 Li May 2002 B1
6387207 Janakiraman et al. May 2002 B1
6395150 Van Cleemput et al. May 2002 B1
6403491 Liu et al. Jun 2002 B1
6416647 Dordi et al. Jul 2002 B1
6432819 Pavate et al. Aug 2002 B1
6436816 Lee et al. Aug 2002 B1
6440863 Tsai et al. Aug 2002 B1
6441492 Cunningham Aug 2002 B1
6446572 Brcka Sep 2002 B1
6448537 Nering Sep 2002 B1
6462371 Weimer et al. Oct 2002 B1
6465366 Nemani et al. Oct 2002 B1
6477980 White et al. Nov 2002 B1
6479373 Dreybrodt et al. Nov 2002 B2
6488984 Wada et al. Dec 2002 B1
6494959 Samoilov et al. Dec 2002 B1
6500728 Wang Dec 2002 B1
6503843 Xia et al. Jan 2003 B1
6506291 Tsai et al. Jan 2003 B2
6516815 Stevens et al. Feb 2003 B1
6527968 Wang et al. Mar 2003 B1
6528409 Lopatin et al. Mar 2003 B1
6531377 Knorr et al. Mar 2003 B2
6537733 Campana et al. Mar 2003 B2
6541397 Bencher Apr 2003 B1
6541671 Martinez et al. Apr 2003 B1
6544340 Yudovsky Apr 2003 B2
6547977 Yan et al. Apr 2003 B1
6551924 Dalton et al. Apr 2003 B1
6565729 Chen et al. May 2003 B2
6569773 Gellrich et al. May 2003 B1
6573030 Fairbairn et al. Jun 2003 B1
6573606 Sambucetti et al. Jun 2003 B2
6596602 Iizuka et al. Jul 2003 B2
6596654 Bayman et al. Jul 2003 B1
6602434 Hung et al. Aug 2003 B1
6603269 Vo et al. Aug 2003 B1
6605874 Leu et al. Aug 2003 B2
6616967 Test Sep 2003 B1
6627532 Gaillard et al. Sep 2003 B1
6638810 Bakli et al. Oct 2003 B2
6645301 Sainty et al. Nov 2003 B2
6645550 Cheung et al. Nov 2003 B1
6656831 Lee et al. Dec 2003 B1
6656837 Xu et al. Dec 2003 B2
6677242 Liu et al. Jan 2004 B1
6677247 Yuan et al. Jan 2004 B2
6679981 Pan et al. Jan 2004 B1
6717189 Inoue et al. Apr 2004 B2
6720213 Gambino et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6743473 Parkhe et al. Jun 2004 B1
6743732 Lin et al. Jun 2004 B1
6759261 Shimokohbe et al. Jul 2004 B2
6762127 Boiteux et al. Jul 2004 B2
6762435 Towle Jul 2004 B2
6764958 Nemani et al. Jul 2004 B1
6765273 Chau et al. Jul 2004 B1
6772827 Keller et al. Aug 2004 B2
6794290 Papasouliotis et al. Sep 2004 B1
6794311 Huang et al. Sep 2004 B2
6796314 Graff et al. Sep 2004 B1
6800830 Mahawili Oct 2004 B2
6802944 Ahmad et al. Oct 2004 B2
6808564 Dietze Oct 2004 B2
6808748 Kapoor et al. Oct 2004 B2
6821571 Huang Nov 2004 B2
6823589 White et al. Nov 2004 B2
6830624 Janakiraman et al. Dec 2004 B2
6835995 Li Dec 2004 B2
6846745 Papasouliotis et al. Jan 2005 B1
6867141 Jung et al. Mar 2005 B2
6869880 Krishnaraj et al. Mar 2005 B2
6878206 Tzu et al. Apr 2005 B2
6879981 Rothschild et al. Apr 2005 B2
6893967 Wright et al. May 2005 B1
6903031 Karim et al. Jun 2005 B2
6903511 Chistyakov Jun 2005 B2
6908862 Li et al. Jun 2005 B2
6911112 An et al. Jun 2005 B2
6911401 Khandan et al. Jun 2005 B2
6921556 Shimizu et al. Jul 2005 B2
6924191 Liu et al. Aug 2005 B2
6942753 Choi et al. Sep 2005 B2
6951821 Hamelin et al. Oct 2005 B2
6958175 Sakamoto et al. Oct 2005 B2
6958286 Chen et al. Oct 2005 B2
6974780 Schuegraf Dec 2005 B2
7017269 White et al. Mar 2006 B2
7030034 Fucsko et al. Apr 2006 B2
7049200 Arghavani et al. May 2006 B2
7078312 Sutanto et al. Jul 2006 B1
7081414 Zhang et al. Jul 2006 B2
7084070 Lee et al. Aug 2006 B1
7115525 Abatchev et al. Oct 2006 B2
7122949 Strikovski Oct 2006 B2
7183214 Nam et al. Feb 2007 B2
7196342 Ershov et al. Mar 2007 B2
7205240 Karim et al. Apr 2007 B2
7223701 Min et al. May 2007 B2
7226805 Hallin et al. Jun 2007 B2
7253123 Arghavani et al. Aug 2007 B2
7256370 Guiver Aug 2007 B2
7288482 Panda et al. Oct 2007 B2
7341633 Lubomirsky et al. Mar 2008 B2
7390710 Derderian et al. Jun 2008 B2
7396480 Kao et al. Jul 2008 B2
7465358 Weidman et al. Dec 2008 B2
7484473 Keller et al. Feb 2009 B2
7488688 Chung et al. Feb 2009 B2
7494545 Lam et al. Feb 2009 B2
7581511 Mardian et al. Sep 2009 B2
7628897 Mungekar et al. Dec 2009 B2
7709396 Bencher et al. May 2010 B2
7722925 White et al. May 2010 B2
7785672 Choi et al. Aug 2010 B2
7807578 Bencher et al. Oct 2010 B2
7871926 Xia et al. Jan 2011 B2
7910491 Soo Kwon et al. Mar 2011 B2
7915139 Lang et al. Mar 2011 B1
7939422 Ingle et al. May 2011 B2
7968441 Xu Jun 2011 B2
7981806 Jung Jul 2011 B2
8008166 Sanchez et al. Aug 2011 B2
8058179 Draegar et al. Nov 2011 B1
8071482 Kawada Dec 2011 B2
8074599 Choi et al. Dec 2011 B2
8083853 Choi et al. Dec 2011 B2
8187486 Liu et al. May 2012 B1
8211808 Sapre et al. Jul 2012 B2
8309440 Sanchez et al. Nov 2012 B2
8328939 Choi et al. Dec 2012 B2
8435902 Tang et al. May 2013 B2
20010015261 Kobayashi et al. Aug 2001 A1
20010028922 Sandhu Oct 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010034121 Fu et al. Oct 2001 A1
20010041444 Shields et al. Nov 2001 A1
20020011210 Satoh et al. Jan 2002 A1
20020016080 Khan et al. Feb 2002 A1
20020016085 Huang et al. Feb 2002 A1
20020028585 Chung et al. Mar 2002 A1
20020029747 Powell et al. Mar 2002 A1
20020033233 Savas Mar 2002 A1
20020036143 Segawa et al. Mar 2002 A1
20020045966 Lee et al. Apr 2002 A1
20020054962 Huang May 2002 A1
20020069820 Yudovsky Jun 2002 A1
20020098681 Hu et al. Jul 2002 A1
20020177322 Li et al. Nov 2002 A1
20020187655 Tan et al. Dec 2002 A1
20020197823 Yoo et al. Dec 2002 A1
20030010645 Ting et al. Jan 2003 A1
20030019428 Ku et al. Jan 2003 A1
20030029566 Roth Feb 2003 A1
20030029715 Yu et al. Feb 2003 A1
20030032284 Enomoto et al. Feb 2003 A1
20030038127 Liu et al. Feb 2003 A1
20030038305 Wasshuber Feb 2003 A1
20030072639 White et al. Apr 2003 A1
20030075808 Inoue et al. Apr 2003 A1
20030077909 Jiwari Apr 2003 A1
20030079686 Chen et al. May 2003 A1
20030087531 Kang et al. May 2003 A1
20030091938 Fairbairn et al. May 2003 A1
20030098125 An May 2003 A1
20030109143 Hsieh et al. Jun 2003 A1
20030116087 Nguyen et al. Jun 2003 A1
20030116439 Seo et al. Jun 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030124842 Hytros et al. Jul 2003 A1
20030129106 Sorensen et al. Jul 2003 A1
20030129827 Lee et al. Jul 2003 A1
20030132319 Hytros et al. Jul 2003 A1
20030148035 Lingampalli Aug 2003 A1
20030173333 Wang et al. Sep 2003 A1
20030173347 Guiver Sep 2003 A1
20030181040 Ivanov et al. Sep 2003 A1
20030183244 Rossman Oct 2003 A1
20030190426 Padhi et al. Oct 2003 A1
20030199170 Li Oct 2003 A1
20030221780 Lei et al. Dec 2003 A1
20030224217 Byun et al. Dec 2003 A1
20030224617 Baek et al. Dec 2003 A1
20040005726 Huang Jan 2004 A1
20040033678 Arghavani et al. Feb 2004 A1
20040069225 Fairbairn et al. Apr 2004 A1
20040070346 Choi Apr 2004 A1
20040072446 Liu et al. Apr 2004 A1
20040101667 O'Loughlin et al. May 2004 A1
20040110354 Natzle et al. Jun 2004 A1
20040115876 Goundar et al. Jun 2004 A1
20040129224 Yamazaki Jul 2004 A1
20040137161 Segawa et al. Jul 2004 A1
20040154535 Chen et al. Aug 2004 A1
20040175929 Schmitt et al. Sep 2004 A1
20040182315 Laflamme et al. Sep 2004 A1
20040192032 Ohmori et al. Sep 2004 A1
20040194799 Kim et al. Oct 2004 A1
20040211357 Gadgil et al. Oct 2004 A1
20040219789 Wood et al. Nov 2004 A1
20040245091 Karim et al. Dec 2004 A1
20050001276 Gao et al. Jan 2005 A1
20050003676 Ho et al. Jan 2005 A1
20050009358 Choi et al. Jan 2005 A1
20050026430 Kim et al. Feb 2005 A1
20050026431 Kazumi et al. Feb 2005 A1
20050035455 Hu et al. Feb 2005 A1
20050048801 Karim et al. Mar 2005 A1
20050090120 Hasegawa et al. Apr 2005 A1
20050098111 Shimizu et al. May 2005 A1
20050112901 Ji et al. May 2005 A1
20050121750 Chan et al. Jun 2005 A1
20050181588 Kim Aug 2005 A1
20050199489 Stevens et al. Sep 2005 A1
20050205110 Kao et al. Sep 2005 A1
20050218507 Kao et al. Oct 2005 A1
20050221552 Kao et al. Oct 2005 A1
20050230350 Kao et al. Oct 2005 A1
20050236694 Wu et al. Oct 2005 A1
20050266622 Arghavani et al. Dec 2005 A1
20050266691 Gu et al. Dec 2005 A1
20050287771 Seamons et al. Dec 2005 A1
20060000805 Todorow et al. Jan 2006 A1
20060019456 Bu et al. Jan 2006 A1
20060019486 Yu et al. Jan 2006 A1
20060024956 Zhijian et al. Feb 2006 A1
20060033678 Lubomirsky et al. Feb 2006 A1
20060046419 Sandhu et al. Mar 2006 A1
20060046484 Abatchev et al. Mar 2006 A1
20060051966 Or et al. Mar 2006 A1
20060051968 Joshi et al. Mar 2006 A1
20060093756 Rajagopalan et al. May 2006 A1
20060102076 Smith et al. May 2006 A1
20060130971 Chang et al. Jun 2006 A1
20060166107 Chen et al. Jul 2006 A1
20060166515 Karim et al. Jul 2006 A1
20060185592 Matsuura Aug 2006 A1
20060207504 Hasebe et al. Sep 2006 A1
20060211260 Tran et al. Sep 2006 A1
20060216923 Tran et al. Sep 2006 A1
20060240661 Annapragada et al. Oct 2006 A1
20060246217 Weidman et al. Nov 2006 A1
20060251800 Weidman et al. Nov 2006 A1
20060251801 Weidman et al. Nov 2006 A1
20060252252 Zhu et al. Nov 2006 A1
20060261490 Su et al. Nov 2006 A1
20060264003 Eun Nov 2006 A1
20060264043 Stewart et al. Nov 2006 A1
20070071888 Shanmugasundram et al. Mar 2007 A1
20070072408 Enomoto et al. Mar 2007 A1
20070099431 Li May 2007 A1
20070099438 Ye et al. May 2007 A1
20070107750 Sawin et al. May 2007 A1
20070108404 Stewart et al. May 2007 A1
20070111519 Lubomirsky et al. May 2007 A1
20070123051 Arghavani et al. May 2007 A1
20070181057 Lam et al. Aug 2007 A1
20070197028 Byun et al. Aug 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070238321 Futase et al. Oct 2007 A1
20070269976 Futase et al. Nov 2007 A1
20070281106 Lubomirsky et al. Dec 2007 A1
20080085604 Hoshino et al. Apr 2008 A1
20080099431 Kumar et al. May 2008 A1
20080124919 Huang et al. May 2008 A1
20080124937 Xu et al. May 2008 A1
20080142483 Hua et al. Jun 2008 A1
20080142831 Su Jun 2008 A1
20080160210 Yang et al. Jul 2008 A1
20080162781 Haller et al. Jul 2008 A1
20080182381 Kiyotoshi Jul 2008 A1
20080182382 Ingle et al. Jul 2008 A1
20080233709 Conti et al. Sep 2008 A1
20080261404 Kozuka et al. Oct 2008 A1
20080268645 Kao et al. Oct 2008 A1
20080292798 Huh et al. Nov 2008 A1
20090045167 Maruyama Feb 2009 A1
20090104738 Ring et al. Apr 2009 A1
20090104764 Xia et al. Apr 2009 A1
20090104782 Lu et al. Apr 2009 A1
20090255902 Satoh et al. Oct 2009 A1
20090269569 Fucsko et al. Oct 2009 A1
20090275205 Kiehlbauch et al. Nov 2009 A1
20090275206 Katz et al. Nov 2009 A1
20100059889 Gosset et al. Mar 2010 A1
20100075503 Bencher et al. Mar 2010 A1
20100093151 Arghavani et al. Apr 2010 A1
20100098884 Balseanu et al. Apr 2010 A1
20100099236 Kwon et al. Apr 2010 A1
20100099263 Kao et al. Apr 2010 A1
20100105209 Winniczek et al. Apr 2010 A1
20100173499 Tao et al. Jul 2010 A1
20100187534 Nishi et al. Jul 2010 A1
20110008950 Xu Jan 2011 A1
20110053380 Sapre et al. Mar 2011 A1
20110081782 Liang et al. Apr 2011 A1
20110143542 Feurprier et al. Jun 2011 A1
20110151674 Tang et al. Jun 2011 A1
20110151676 Ingle et al. Jun 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110165771 Ring et al. Jul 2011 A1
20110226734 Sumiya et al. Sep 2011 A1
20110230052 Tang et al. Sep 2011 A1
20110266252 Thadani et al. Nov 2011 A1
20110294300 Zhang et al. Dec 2011 A1
20120009796 Cui et al. Jan 2012 A1
20120196447 Yang et al. Aug 2012 A1
20120211462 Zhang et al. Aug 2012 A1
20120238102 Zhang et al. Sep 2012 A1
20120238103 Zhang et al. Sep 2012 A1
20120282777 Shih et al. Nov 2012 A1
20120285621 Tan Nov 2012 A1
20130034968 Zhang et al. Feb 2013 A1
20130045605 Wang et al. Feb 2013 A1
20130052827 Wang et al. Feb 2013 A1
20130059440 Wang et al. Mar 2013 A1
20130089988 Wang et al. Apr 2013 A1
Foreign Referenced Citations (79)
Number Date Country
1375575 Oct 2002 CN
1412861 Apr 2003 CN
101465386 Jun 2009 CN
0329406 Aug 1989 EP
0376252 Jul 1990 EP
0475567 Mar 1992 EP
0 496 543 Jul 1992 EP
0 658 928 Jun 1995 EP
0697467 Feb 1996 EP
0913498 May 1999 EP
1099776 May 2001 EP
1107288 Jun 2001 EP
1496542 Jan 2005 EP
1568797 Aug 2005 EP
2285174 Jun 1995 GB
2058836 Feb 1990 JP
02-121330 May 1990 JP
02256235 Oct 1990 JP
4-239750 Jul 1992 JP
4-341568 Nov 1992 JP
07-130713 May 1995 JP
7297543 Nov 1995 JP
08-306671 Nov 1996 JP
09153481 Jun 1997 JP
09-205140 Aug 1997 JP
10-178004 Jun 1998 JP
11124682 May 1999 JP
H11-204442 Jul 1999 JP
2000-012514 Jan 2000 JP
2001-308023 Nov 2001 JP
2002-100578 Apr 2002 JP
2002-141349 May 2002 JP
2002-222861 Aug 2002 JP
2002-256235 Sep 2002 JP
2003-019433 Jan 2003 JP
2003-059914 Feb 2003 JP
2003-179038 Jun 2003 JP
2003-217898 Jul 2003 JP
2003-318158 Nov 2003 JP
2003-347278 Dec 2003 JP
2004-047956 Feb 2004 JP
2004-156143 Jun 2004 JP
04-239723 Aug 2004 JP
2005-033023 Feb 2005 JP
2007-173383 Jul 2007 JP
08-148470 Jun 2008 JP
2010-154699 Aug 2010 JP
10-0155601 Dec 1998 KR
10-0236219 Dec 1999 KR
2000-0044928 Jul 2000 KR
2001-0014064 Feb 2001 KR
10-2001-0049274 Jun 2001 KR
10-2001-0058774 Jul 2001 KR
10-2001-0082109 Aug 2001 KR
1020030096140 Dec 2003 KR
10-2004-0049739 Jun 2004 KR
10-2004-0096365 Nov 2004 KR
10-2010-0013980 Feb 2010 KR
10-2010-0074508 Jul 2010 KR
10-1050454 Jul 2011 KR
9220833 Nov 1992 WO
9954920 Oct 1999 WO
9962108 Dec 1999 WO
0013225 Mar 2000 WO
0022671 Apr 2000 WO
0194719 Dec 2001 WO
02083981 Oct 2002 WO
03014416 Feb 2003 WO
2004006303 Jan 2004 WO
2004074932 Sep 2004 WO
2004114366 Dec 2004 WO
2005036615 Apr 2005 WO
2006069085 Jun 2006 WO
2009071627 Jun 2009 WO
2011087580 Jul 2011 WO
2011115761 Sep 2011 WO
2011139435 Nov 2011 WO
2012018449 Sep 2012 WO
2012125654 Sep 2012 WO
Non-Patent Literature Citations (65)
Entry
Abraham, “Reactive Facet Tapering of Plasma Oxide for Multilevel Interconnect Applications”, IEEE, V-MIC Conference, Jun. 15-16, 1987, pp. 115-121.
Applied Materials, Inc., “Applied Siconi™ Preclean,” printed on Aug. 7, 2009, 8 pages.
Carlson, et al., “A Negative Spacer Lithography Process for Sub-100nm Contact Holes and Vias”, University of California at Berkeley, Jun. 19, 2007, 4 pp.
Chang et al. “Frequency Effects and Properties of Plasma Deposited Fluorinated Silicon Nitride”, J. Vac Sci Technol B 6(2), Mar./Apr. 1988, pp. 524-532.
Cheng, et al., “New Test Structure to Identify Step Coverage Mechanisms in Chemical Vapor Deposition of Silicon Dioxide,” Appl. Phys. Lett., 58 (19), May 13, 1991, p. 2147-2149.
C.K. Hu, et al. “Reduced Electromigration of Cu Wires by Surface Coating” Applied Physics Letters, vol. 81, No. 10, Sep. 2, 2002—pp. 1782-1784.
European Search Report dated May 23, 2006 for EP Application No. 05251143.3.
European Examination Report dated Nov. 13, 2007 for EP Application No. 05251143.3 (APPM/008802EP).
EP Partial Search Report, Application No. 08150111.601235/1944796, dated Aug. 22, 2008.
Examination Report dated Jun. 28, 2010 for European Patent Application No. 05251143.3. I (APPM/008802 EPC E).
Eze, F. C., “Eiectroless deposition of CoO thin films,” J. Phys. D: Appl. Phys. 32 (1999), pp. 533-540.
Fukada et al. “Preparation of SiOF Films with Low Dielectric Constant by ECR Plasma CVD”, ISMIC, DUMIC Conference, Feb. 21-22, 1995, pp. 43-49.
Galiano et al. “Stress-Temperature Behavior of Oxide Films Used for Intermetal Dielectric Applications”, VMIC Conference, Jun. 9-10, 1992, pp. 100-106.
Hashim et al.; Characterization of thin oxide removal by RTA Treatment; ICSE 1998 Proc. Nov. 1998, Rangi, Malaysia, pp. 213-216.
Hausmann, et al., “Rapid Vapor Deposition of Highly Conformal Silica Nanolaminates,” Science, Oct. 11, 2002, p. 402-406, vol. 298.
Hayasaka, N. et al. “High Quality Low Dielectric Constant SiO2 CVD Using High Density Plasma,” Proceedings of the Dry Process Symposium, 1993, pp. 163-168.
Hwang et al., “Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process,” IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2007, 3 pages.
Iijima, et al., “Highly Selective SiO2 Etch Employing Inductively Coupled Hydro-Fluorocarbon Plasma Chemistry for Self Aligned Contact Etch”, Jpn. J. Appl. Phys., Sep. 1997, pp. 5498-5501, vol. 36, Part 1, No. 9A.
International Search Report and Written Opinion of the International Searching Authority mailed Jul. 3, 2008 (PCT/US05/46226; APPM8802PC02).
International Search Report and Written Opinion for PCT Application No. PCT/US2011/027221, mailed on Nov. 1, 2011, 8 pages.
International Search Report and Written Opinion of PCT/US2010/057676 mailed on Jun. 27, 2011, 9 pages.
International Search Report and Written Opinion of PCT/US2011/030582 mailed Dec. 7, 2011, 9 pages.
International Search Report and Written Opinion of PCT/US2011/064724 mailed on Oct. 12, 2012, 8 pages.
International Search Report and Written Opinion of PCT/US2012/028952 mailed on Oct. 29, 2012, 9 pages.
International Search Report and Written Opinion of PCT/US2012/048842 mailed on Nov. 28, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2012/053329 mailed on Feb. 15, 2013, 8 pages.
International Search Report and Written Opinion of PCT/US2012/057294 mailed on Mar. 18, 2013, 12 pages.
International Search Report and Written Opinion of PCT/US2012/057358 mailed on Mar. 25, 2013, 10 pages.
International Search Report and Written Opinion of PCT/US2012/058818 mailed on Apr. 1, 2013, 9 pages.
International Search Report and Written Opinion of the International Searching Authority for PCT Application No. PCT/US2012/028957, mailed on Oct. 18, 2012, 9 pages.
International Search Report of PCT/US2009/059743 mailed on Apr. 26, 2010, 4 pages.
International Search report and Written Opinion of PCT/CN2010/000932 dated Mar. 31, 2011.
International Search report of PCT/US2012/061726 mailed on May 16, 2013, 3 pages.
Japanese Patent Office, Official Action for Application No. 2007-317207 mailed on Dec. 21, 2011, 2 pages.
Jung, et al., “Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool”, Proc. SPIE , 2007, 9 pages, vol. 6520, 65201C.
Laxman, “Low ε Dielectrics: CVD Fluorinated Silicon Dioxides”, Semiconductor International, May 1995, pp. 71-74.
Lee, et al., “Dielectric Planarization Techniques for Narrow Pitch Multilevel Interconnects,” IEEE, V-MIC Conference Jun. 15-16, 1987, pp. 85-92 (1987).
Lin, et al., “Manufacturing of Cu Electroless Nickei/Sn-Pb Flip Chip Solder Bumps”, IEEE Transactions on Advanced Packaging, vol. 22, No. 4 (Nov. 1999), pp. 575-579.
Lopatin, et al., “Thin Electroless barrier for copper films”, Part of the SPIE Conference of Multilevel Interconnect technology II, SPIE vol. 3508 (1998), pp. 65-77.
Matsuda, et al. “Dual Frequency Plasma CVD Fluorosilicate Glass Deposition for 0.25 um Interlevel Dielectrics”, ISMIC, DUMIC Conference Feb. 21-22, 1995, pp. 22-28.
Meeks, Ellen et al., “Modeling of SiO2 deposition in high density plasma reactors and comparisons of model predictions with experimental measurements,” J. Vac. Sci. Technol. A, Mar./Apr. 1998, pp. 544-563, vol. 16(2).
Mukai, et al., “A Study of CD Budget in Spacer Patterning Process”, Toshiba, SPIE 2008, Feb. 26, 2008, 12 pages.
Musaka, “Single Step Gap Filling Technology fo Subhalf Micron Metal Spacings on Plasma Enhanced TEOS/O2 Chemical Vapor Deposition System,” Extended Abstracts of the 1993 International Conference on Solid State Devices and Materials pages, 1993, 510-512.
Nishino, et al.; Damage-Free Selective Etching of Si Native Oxides Using NH3/NF3 and SF6/H20 Down-Flow Etching, The Japanese Society of Applied Physics, vol. 74, No. 2, pp. 1345-1348, XP-002491959, Jul. 15, 1993.
Ogawa, et al., “Dry Cleaning Technology for Removal of Silicon Native Oxide Employing Hot NH3/NF3 Exposure”, Japanese Journal of Applied Physics, pp. 5349-5358, Aug. 2002, vol. 41 Part 1, No. 8.
Ota, et al., “Stress Controlled Shallow Trench Isolation Technology to Suppress the Novel Anti-Isotropic Impurity Diffusion for 45nm-Node High Performance CMOSFETs,” Symposium on VLSI Technology Digest of Technical Papers, 2005, pp. 138-139.
Pearlstein, Fred. “Eiectroless Plating,” J. Res. Natl. Bur. Stan., Ch. 31 (1963), pp. 710-747.
Qian, et al., “High Density Plasma Deposition and Deep Submicron Gap Fill with Low Dielectric Constant SiOF Films,” ISMIC, DUMIC Conference Feb. 21-22, 1995, pp. 50-56.
Robles, et al. “Effects of RF Frequency and Deposition Rates on the Moisture Resistance of PECVD TEOS-Based Oxide Films”, ECS Extended Abstracts, Abstract No. 129, May 1992, pp. 215-216, vol. 92-1.
Saito, et al., “Eiectroless deposition of Ni-B, Co-B and Ni-Co-B alloys using dimethylamineborane as a reducing agent,” Journal of Applied Electrochemistry 28 (1998), pp. 559-563.
Schacham-Diamond, et al., “Electrochemically deposited thin film alloys for ULSI and MEMS applications,” Microelectronic Engineering 50 (2000), pp. 525-531.
Schacham-Diamond, et al. “Material properties of electroless 100-200 nm thick CoWP films,” Electrochemical Society Proceedings, vol. 99-34, pp. 102-110.
Shapiro, et al. “Dual Frequency Plasma CVD Fluorosilicate Glass: Water Absorption and Stability”, ISMIC, DUMIC Conference Feb. 21-22, 1995, pp. 118-123.
Smayling, et al., “APF® Pitch-Halving for 2nm Logic Cells using Gridded Design Rules”, proceedings of the SPIE, 2008, 8 pages.
S.M. Sze, VLSI Technology, McGraw-Hill Book Company, pp. 107, 108.
U.S. Appl. No. 60/803,499, filed May 30, 2006, 56 pages.
U.S. Appl. No. 11/875,250, filed Oct. 19, 2007, 36 pages.
Usami, et al., “Low Dielectric Constant Interlayer Using Fluorine-Doped Silicon Oxide”, Jpn. J. Appl. Phys., Jan. 19, 1994. pp. 408-412, vol. 33 Part 1, No. 1B.
Vassiliev, et al., “Trends in void-free pre-metal CVD dielectrics,” Solid State Technology, Mar. 2001, pp. 129-136.
Wang et al.; Ultra High-selectivity silicon nitride etch process using an inductively coupled plasma source; J. Vac. Sci. Techno!. A 16(3),May/Jun. 1998, pp. 1582-1587.
Weston, et al., “Ammonium Compounds,” Kirk-Othmer Encyclopedia of Chemical Technology, 2003,30 pages see pp. 717-718, John Wiley & Sons, Inc.
Wolf et al.; Silicon Processing for the VLSI Era; vol. 1; 1986; Lattice Press, pp. 546, 547, 618, 619.
Yosi Shacham-Diamond, et al. “High Aspect Ratio Quarter-Micron Electroless Copper Integrated Technology”, Microelectronic Engineering 37/38 (1997) pp. 77-88.
Yu, et al., “Step Coverage Study of Peteos Deposition for Intermetal Dielectric Applications,” abstract, VMIC conference, Jun. 12-13, 1990, 7 pages, No. 82.
Yutaka, et al., “Selective Etching of Silicon Native Oxide with Remote-Plasma-Excited Anhydrous Hydrogen Fluoride,” Japanese Journal of Applied Physics, 1998, vol. 37, pp. L536-L538.
Related Publications (1)
Number Date Country
20130309870 A1 Nov 2013 US
Provisional Applications (1)
Number Date Country
61557249 Nov 2011 US