Mixed exposure for large die

Information

  • Patent Grant
  • 11929347
  • Patent Number
    11,929,347
  • Date Filed
    Wednesday, January 19, 2022
    2 years ago
  • Date Issued
    Tuesday, March 12, 2024
    2 months ago
  • Inventors
  • Original Assignees
    • ADEIA SEMICONDUCTOR TECHNOLOGIES LLC (San Jose, CA, US)
  • Examiners
    • Ullah; Elias
    Agents
    • Knobbe, Martens, Olson & Bear, LLP
Abstract
Techniques and arrangements for performing exposure operations on a wafer utilizing both a stepper apparatus and an aligner apparatus. The exposure operations are performed with respect to large composite base dies, e.g., interposers, defined within the wafer, where the interposers will become a part of microelectronic devices by coupling with active dies or microchips. The composite base dies may be coupled to the active dies via “native interconnects” utilizing direct bonding techniques. The stepper apparatus may be used to perform exposure operations on active regions of the composite base dies to provide a fine pitch for the native interconnects, while the aligner apparatus may be used to perform exposure operations on inactive regions of the composite base dies to provide a coarse pitch for interfaces with passive regions of the composite base dies.
Description
CROSS-REFERENCE TO RELATED APPLICATION

This patent application claims priority to U.S. Utility patent application Ser. No. 17/075,489, filed Oct. 20, 2020, which is fully incorporated herein by reference.


BACKGROUND

In microelectronic devices, electronic circuits are fabricated on a wafer of semiconductor material, such as silicon. The wafer with electronic circuits may be bonded to one or more other wafers, bonded to individual dies, or itself diced (singulated) into numerous dies, each die containing a copy of the circuit. Each die that has a functional integrated circuit is known as a microchip or “chip.” When specific functions from a library of functions are assigned to individual chips, or when a large monolithic chip is emulated by a collection of smaller chips, these smaller chips, or chips with specific or proprietary functions, may be referred to as “chiplets.” As used herein, unless otherwise indicated, chiplet means a complete subsystem (intellectual property core) (IP core), a reusable unit of logic, memory and/or other circuitry, on a single die. A library of chiplets may provide routine or well-established IP-block functions.


Large composite base dies, e.g., interposers, of microelectronic devices generally include multiple chiplets made up of multiple layers. The large composite dies may be defined within a wafer during manufacturing of the microelectronic devices.


In order to process the multiple layers, multiple mask sets are required. A stepper apparatus may be used to expose the multiple mask sets, layer by layer. However, often the reticle of the stepper apparatus is smaller than the size of the composite base die. Indeed, “large” generally refers to a base die that is bigger in area than the reticle. Thus, multiple passes of the wafer through the stepper apparatus are required and reticle stitching is needed. This is a time consuming and expensive process. Additionally, it can be possible to utilize multiple stepper apparatuses. However, stepper apparatuses are extremely expensive and thus, it is generally not practical to include multiple stepper apparatuses.





BRIEF DESCRIPTION OF THE DRAWINGS

The detailed description is set forth below with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items. The systems depicted in the accompanying figures are not to scale and components within the figures may be depicted not to scale with each other.



FIG. 1 is a schematic diagram depicting an example illustrative layout of a wafer with composite base dies defined therein for use in microelectronic devices.



FIGS. 2A-2E schematically illustrate example alternative layouts of composite base dies with respect to active regions and inactive regions.



FIG. 3 schematically illustrates a cross-sectional view of an example of a microelectronic device that includes a composite base die.



FIG. 4 illustrates a flow diagram of an example method for performing exposure operations on the wafer of FIG. 1 utilizing both a stepper apparatus and an aligner apparatus.





DESCRIPTION OF EXAMPLE EMBODIMENTS

Overview


This disclosure describes example techniques and arrangements for performing exposure operations on a wafer utilizing both a stepper apparatus and an aligner apparatus. The exposure operations are performed with respect to large composite base dies, e.g., interposers, defined within the wafer, where the interposers will become a part of microelectronic devices by coupling with active dies or microchips. The composite base dies may be coupled to the active dies via “native interconnects” or “native interfaces” utilizing direct bonding techniques.


“Direct-bonding” as used herein means direct-contact metal-to-metal bonding, oxide bonding, or fusion bonding between two metals, such as copper to copper (Cu—Cu) metallic bonding between two copper conductors in direct contact, with at least partial crystal lattice cohesion. Such direct-bonding may be provided by a hybrid bonding technique such as DBI®. (direct bond interconnect) technology to be described below, and other metal bonding techniques (Invensas Bonding Technologies, Inc., an Xperi Corporation company, San Jose, Calif.). “Core” and “core-side” as used herein mean at the location, signal, and/or level present at the functional logic of a particular die, as opposed to at the location, signal, and/or level of an added standard interface defined by a consortium. Thus, a signal is raw or “native” if it is operational at the core functional logic level of a particular die, without certain modifications, such as additional serialization, added electrostatic discharge (ESD) protection except as inherently provided by the particular circuit; has an unserialized data path, can be coupled across dies by a simple latch, flop, or wire, has no imposed input/output (I/O) protocols, and so forth. A native signal, however, can undergo level shifting, or voltage regulation for purposes of adaptation between dies of heterogeneous foundry origin, and still be a native signal, as used herein. “Active” as used herein (active base die) accords with the usual meaning of active in the semiconductor arts, as opposed to “passive.” Active components include transistor logic and amplifying components, such as the transistors. Passive components, on the other hand, do not introduce net energy into a circuit, and do not use an original source of power, except for power derived from other circuits connected to the passive circuit.


DBI bonding may be utilized for fine-pitch bonding in integrated circuit assembly, and can be applied to bond the die to the interconnect area. See for example, U.S. Pat. No. 7,485,968, which is incorporated by reference herein in its entirety. DBI bonding technology has also been demonstrated down to lower pitches in wafer-to-wafer approaches that do not have this individual die pitch limitation with the pick-and-place (P&P) operation (Pick & Place surface-mount technology machines). With DBI technology, under bump metalization (UBM), underfill, and micro-bumps are replaced with a DBI metalization layer. Bonding at die level is initiated at room temperature followed by a batch anneal at low temperature. ZiBond® direct bonding may also be used in some circumstances ((Ziptronix, Inc., an Xperi Corporation company, San Jose, Calif.).


In some configurations, the interconnects may be referred to as “direct-bonded native interconnects” that are metal-to-metal bonds formed directly between native conductors of a die and conductors of a second die, thereby forgoing the need for the complexity and overhead of standard interfaces. A native conductor of a die is an electrical conductor that has electrical access to the raw or native signal of the die, operational at the level of the core functional logic of the particular die, without significant modification of the signal for purposes of interfacing with other dies. The native interconnects for conducting such native signals from the core-side of a die can provide continuous circuits disposed through two or more cross-die boundaries without amplifying or modifying the native signals, except as desired to accommodate dies from different manufacturing processes. From a signal standpoint, the native signal of the IP core of one die is passed directly to other dies via the directly bonded native interconnects, with no modification of the native signal or negligible modification of the native signal, thereby forgoing standard interfacing and consortium-imposed input/output protocols. For example, the native interconnects described in U.S. Pat. No. 10,522,352, issued Dec. 31, 2019, entitled “Direct-Bonded Native Interconnects and Active Base Die”, which is incorporated by reference in its entirety, may be utilized according to some configurations.


The composite base dies on the wafer include active regions and inactive (passive) regions. The use of native interconnects to couple a composite base die to an active die in a microelectronic device requires a fine pitch in the active regions of the composite base dies. For example, the active regions may require a pitch in a range of one to 10 microns. In some configurations, the pitch may be less than one micron. In other configurations, the pitch may be in a range of 10 to 100 microns. The inactive regions generally only require a pitch of 130 microns and above. In some configurations, the pitch in the inactive regions may be 100 microns and above.


In configurations, the stepper apparatus may be utilized to expose (e.g., perform a lithographic operation on) active regions of composite base dies on the wafer. Thus, for a first layer of the base die, the stepper apparatus may expose an active region of a first large composite die defined in the wafer. The reticle of the stepper apparatus may then be moved or “overstep” to a second composite base die defined in the wafer. The stepper apparatus may then expose active regions in the first layer of the second base die. The process may repeat until all of the composite base die active regions of all of the composite base dies defined in the wafer have been exposed in the first layer. In configurations, if multiple active regions fit within the stepper apparatus' reticle, then multiple active regions of a composite base die or composite base dies may be exposed and then the stepper apparatus may overstep and move the reticle to another set of active regions for exposure. In configurations, the aligner apparatus may be utilized to expose (e.g., perform a lithographic operation on) inactive regions of the composite base dies defined in the wafer. As is known, generally the wafer fits entirely within the aligner apparatus. Thus, all of the inactive regions of the composite base dies defined in the wafer may be exposed in the first layer with one single operation of the aligner. In some configurations, more than one aligner operation may be needed to expose all of the inactive regions of the composite base dies defined in the wafer. Use of both the stepper apparatus and the aligner apparatus results in no reticle stitching being required. Once the exposure operations have been performed in a layer, exposed areas may be etched.


Once the active regions and the inactive regions of the composite base dies defined in the wafer have been exposed and etched in the first layer, the process may be repeated for a second layer, a third layer, etc. Generally, other operations may be performed in addition to the exposure and etching operations of the various layers. For example, a resist may be applied to the layers of the wafer. In configurations, an antireflective coating may be applied to the entire wafer. The stepper apparatus may then be utilized to expose the active circuitry within the composite base dies as previously described. For example, the stepper apparatus may expose an active region within the first layer of a first composite base die and then the reticle may be moved, e.g., overstepped, to an active region of the second composite base die defined within the wafer. The stepper apparatus may then perform an exposure operation for the active region of the second composite base die. In configurations, the aligner apparatus may be used first to provide a pattern for alignment features for the stepper apparatus.


The wafer may then be processed using the aligner apparatus, e.g., the aligner apparatus may perform an exposure operation for the composite base dies defined in the wafer for the inactive regions, e.g., for the various features in the inactive regions including through silicon vias (TSVs). In configurations, TSVs in the active regions (via in the middle) may be exposed by the aligner apparatus. In some configurations, TSVs in the active regions (via in the middle) by the stepper apparatus in later exposure operations by the stepper apparatus.


After the aligner apparatus is used for exposure operations in the first layer, an etch/pattern operation may be performed by an appropriate apparatus. A cleaning operation may also be performed. In configurations, the first layer may be an implant layer and thus, implant operations may then be performed, e.g., a n-doping or a p-doping implantation operation may be performed. Masks may then be stripped and a further cleaning operation may then be performed. The process may then be repeated for a second layer, third layer, etc., depending on the number of implant layers. Once the implant layers are completed, then subsequent layers, e.g., metal layers, may be processed in a similar manner. In configurations, there may be additional operations performed not discussed herein. The operations discussed herein are merely examples and are not meant to be limiting.


Additionally, the order of the steps may be varied. For example, there could be negative or positive resists applied. Also, the stepper apparatus may expose active regions of a first layer and then the etching process may be performed for the exposed active regions in the first layer. The aligner apparatus may then expose inactive regions in the first layer and then the etching process may be performed before other operations are performed.


EXAMPLE EMBODIMENTS


FIG. 1 is a schematic diagram 100 depicting an example illustrative layout of a wafer 102 with composite base dies 104a-104h (referred to herein singularly or collectively as 102) defined therein. In configurations, the wafer 102 may have more or fewer composite base dies 104 defined therein depending on the size of the composite base die 104. The composite base dies 104 include active regions 1A, 1B, 1C, and 1D that are located on a corner of each composite base die 102. Each composite die 104 also includes inactive regions 1E, 1F, 1G, and 1H. FIGS. 2A-2E schematically illustrate example alternative layouts of composite base dies 202a, 202b, 202c, 202d, and 202e with respect to active regions 204 and inactive regions 206, which correspond to active regions 1A, 1B, 1C, and 1D and inactive regions 1E, 1F, 1G, and 1H, respectively. As may be seen in FIG. 2E, the composite base dies 104 illustrated in FIG. 1 have a layout at least similar to the layout of composite base die 202e. However, the composite base dies 104 may have a different layout, including, but not limited to, the example layouts illustrated in FIGS. 2A-2D.


Referring back to FIG. 1, areas 106 of the wafer 102 that do not include any of the composite base dies 104 do not require exposure. Box 108a illustrates a reticle size of a reticle 108 of a stepper apparatus 110. As can be seen from box 106, the reticle 108 is larger than the sections 112 that include an active region and an inactive region, e.g., active region 1A and inactive region 1E, of the composite base dies 104, but the composite base dies 104 are larger than the reticle 108. Thus, the composite base dies 104 may be referred to as “large” composite base dies 104 since they are larger than the reticle 108.


In configurations, the stepper apparatus 110 may be utilized to expose (e.g., perform a lithographic operation on) just the active regions 1A, 1B, 1C, and 1D of the composite base dies 104 using the reticle 108. Thus, for example, the reticle 108 of the stepper apparatus 110 may be utilized to expose the active regions 1A, 1B, 1C, and 1D of the first composite base die 104a. As can be seen from box 106, the reticle 108 is sized such that the reticle 108 can expose all active regions 1A, 1B, 1C, and 1D of a composite base die 104a simultaneously. The stepper apparatus 110 may then overstep or move the reticle 108 to the next active regions 1A, 1B, 1C, and 1D of the second base die 104b, thereby leaving a space between exposures.


An aligner apparatus 114 may then be utilized to expose (e.g., perform a lithographic operation on) the inactive regions 1E, 1F, 1G, and 1H of the composite base dies 104. For example, portions of the inactive regions 1E, 1F, 1G, and 1H that may be utilized for metal fill for the backend of line (BEOL) layers of the composite base dies 104 may be exposed by the aligner apparatus 114. Furthermore, TSVs in the inactive regions 1E, 1F, 1G, and 1H may be exposed utilizing the aligner apparatus 114. Furthermore, power distribution routing may be exposed in the inactive regions 1E, 1F, 1G, and 1H utilizing the aligner apparatus 114. Additionally, minimal signal or clock routing between composite base dies 104 outside the active regions 1A, 1B, 1C, and 1D may also be exposed utilizing the aligner apparatus 114. The exposure operations performed by the stepper apparatus 110 and the aligner apparatus 114 occur layer by layer until all layers of the wafer 102 have been processed.


In configurations, there likely may be only one exposure per layer of the wafer 102 utilizing the aligner apparatus 114. However, in configurations, there may be two exposures utilizing the aligner apparatus 114 where the aligner apparatus 114 exposes both the metal fills and TSVs in a layer. Likewise, there generally is only one exposure of active regions 1A, 1B, 1C, and 1D for each composite base die 104 per layer of the wafer 102 utilizing the stepper apparatus 110. However, as previously noted, the stepper apparatus 110 exposes the active regions 1A, 1B, 1C, and 1D of the composite base dies 104 for each composite base die 104 defined within the wafer 102 individually, e.g., the reticle 108 is overstepped from one composite base die 104 to the next composite base die 104.


In configurations, depending on the size of the reticle 108 and the layout of the composite base die 104, multiple active regions 1A, 1B, 1C, and 1D of a composite base die 104 may be exposed simultaneously as is the case with the example of FIG. 1. For example, referring to back FIG. 2A, as may be seen, the reticle size 208 is big enough to expose active regions 202a and 202b simultaneously.


Thus, in configurations, a limited region of each composite base die 104 may be exposed utilizing the stepper apparatus 110 while other regions within the backend of line (BEOL) and optionally the frontend of line (FEOL), e.g., implants, early metal layers, utilize the aligner apparatus 114 for exposure. In configurations, the implants and early metal layers, or the FEOL, may utilize the stepper apparatus 110 to achieve a fine pitch.



FIG. 3 schematically illustrates a cross-sectional view of an example of a microelectronic device 300. The microelectronic device 300 includes active dies 302a, 302b located on a composite base die 304, e.g., an interposer corresponding to a composite base die 104 of FIG. 1. Regions 306a, 306b are active regions corresponding to active regions 1A, 1B, 1C, and 1D of FIG. 1. Regions 308a, 308b are inactive regions corresponding to inactive regions 1E, 1F, 1G, and 1H of FIG. 1. In configurations, a bridging area 310 may be provided in the composite base die 304 between the active regions 306a, 306b. The microelectronic device also includes TSVs 312 and bumps 314 to couple the microelectronic device 300 to, for example, a substrate, a circuit board, another microelectronic device, etc. (not illustrated).


As previously noted, the active regions 306a, 306b require a fine pitch to allow for native interfaces 316 between the active dies 302a, 302b and the composite base die 304. In configurations, other types of interfaces between the active dies 302a, 302b and the composite base die 304 at the active regions 306a, 306b may be utilized. Such other types of interfaces require a high density pitch however. The inactive regions 308a, 308b as previously noted, generally only require a conventional (coarse) pitch to interface with passive regions 318a, 318b of the composite base die 304. Such pitch is generally greater than 130 microns. In configurations, the pitch in the inactive regions 308a, 308b may be 100 microns to 130 microns. As previously noted, the fine pitch in the active regions 306a, 306b may be in a range of one micron to 10 microns. In some configurations, the fine pitch may be below one micron, while in other configurations, the fine pitch may be between 10 and 100 microns. Thus, interconnects in the active regions 306a, 306b on the composite base die 304 have a higher density, which is needed for native interfaces with the active dies 302a, 302b so that the composite base die 304 can handle driving the native interfaces. Thus, the stepper apparatus 110 is required to expose the various components in the layers of the active regions 306a, 306b, as previously discussed, since the stepper apparatus 110 can achieve the higher density while the aligner apparatus 114 generally cannot.


As previously noted, the inactive regions 308a, 308b, may have areas exposed in the various layers utilizing the aligner apparatus 114 (illustrated in FIG. 1). Examples of areas exposed in the various layers of the inactive regions 308a, 308b include, for example, pass throughs, metal fills (which may be utilized to balance the interposer), an optional power mesh to provide a robust power supply for the resulting microelectronic device 300, a minor routing to provide various signals, and “massive” passive components.


In configurations, the passive component comprises a capacitor. In other configurations, the passive component may comprise other devices, such as an inductor, a resistor, a voltage regulator, a filter, and/or a resonator. The passive component may be integrated into a layer of passive components (e.g., a massive number of passive devices) that is directly bonded to, for example, the actives dies 302a, 302b. In configurations, for example, the layer of passive components may be disposed in a layer of the composite base die 304 and may directly connect with the active dies 302a, 302b via the inactive regions 308a, 308b. The layer of passive components can thereby reduce the space occupied by passive components at the integrated device, at the package, and/or at the system board. Moreover, positioning the passive electronic component closer to active components of the active dies 302a, 302b may beneficially reduce overall inductance, which can improve the bandwidth and signal integrity of the semiconductor element, as compared with passive devices that are mounted to the package substrate or system board. In addition, the overall capacitance provided by the disclosed embodiments enables significantly higher capacitances (and reduced inductance) as compared with discrete passives mounted to a die. For example, the structures and techniques described in U.S. Patent Publication No. 2018/0190583A1, filed Feb. 7, 2017, entitled “Bonded Structures with Integrated Passive Component”, which is incorporated by reference in its entirety, may be utilized according to some configurations.


Referring back to FIG. 1, in configurations, the aligner apparatus 114 may be utilized first to provide (pattern) for alignment features for the stepper apparatus 110. The stepper apparatus 110 may then be overstepped to expose only active regions 1A, 1B, 1C, and 1D or active circuitry as required. The stepper apparatus 110 is utilized layer by layer. The aligner apparatus 114 may be utilized for TSV locations in the active regions 1A, 1B, 1C, and 1D, e.g., “via in the middle.” The stepper apparatus 110 may be utilized in configurations for the TSV locations, e.g., via in the middle, for only the active regions 1A, 1B, 1C, and 1D.


In configurations, the stepper apparatus 110 may be utilized for all active regions 1A, 1B, 1C, and 1D of a layer of the wafer 102 and then the aligner apparatus 114 may be utilized for the inactive regions 1E, 1F, 1G, and 1H at the layer of the wafer 102. In configurations, the process may be switched between the stepper apparatus 110 and the aligner apparatus 114 after several intermediate steps. For example, the stepper apparatus 110 may be utilized for exposure operations of active regions 1A, 1B, 1C, and 1D and then an etch operation may be performed by another component (not illustrated) on the exposed portions of the active regions 1A, 1B, 1C, and 1D. The aligner apparatus 114 may then be utilized for exposure operations of inactive regions 1E, 1F, 1G, and 1H and then an etch operation may be performed by another component (not illustrated) on the exposed portions of the inactive regions 1E, 1F, 1G, and 1H.


Thus, in some configurations, exposure steps may be performed and then another step may be performed or the steps may be alternated. For example, the stepper apparatus 110 may perform a lithography exposure operation on the active regions 1A, 1B, 1C, and 1D and then the aligner apparatus 114 may perform a lithography exposure operation on the inactive regions 1E, 1F, 1G, and 1H. An etch operation may be performed for all exposed areas in the active regions 1A, 1B, 1C, and 1D and the inactive regions 1E, 1F, 1G, and 1H. Alternatively, the stepper apparatus 110 may perform a lithography exposure operation on the active regions 1A, 1B, 1C, and 1D and then an etch operation may be performed on the exposed active regions 1A, 1B, 1C, and 1D. Then the aligner apparatus 114 may perform a lithography exposure operation on the inactive regions 1E, 1F, 1G, and 1H and then an etch operation may be performed on the exposed inactive regions 1E, 1F, 1G, and 1H.


Accordingly, as an example, the aligner apparatus 114 may be utilized first to pattern for alignment features for the stepper apparatus 110. Once the active regions and the inactive regions of the composite base dies 104 defined in the wafer 102 have been exposed and etched in a first layer, the process may be repeated for a second layer, a third layer, etc., of the wafer 102.


Generally, continuing with the example related to the first layer, other operations may be performed on the first layer in addition to the exposure and etching operations of the various layers of the wafer 102. For example, a resist may first be applied to the first layer of the wafer 102. In configurations, an antireflective coating may be applied to the entire wafer 102. The stepper apparatus 110 may then be utilized to expose the active circuitry within the composite base dies 104, as previously described. For example, the stepper apparatus 110 may expose active regions 1A, 1B, 1C, and 1D within the first layer of the first composite base die 104a and then the reticle 108 may be moved, e.g., overstepped, to active regions 1A, 1B, 1C, and 1D of the second composite base die 104b defined within the wafer 102. The stepper apparatus 110 may then perform an exposure operation for the active regions 1A, 1B, 1C, and 1D of the second composite base die 104b. This may be repeated for the active regions 1A, 1B, 1C, and 1D of the composite base dies 104c-104h.


The wafer 102 may then be processed using the aligner apparatus 114, e.g., the aligner apparatus 114 may perform an exposure operation for the composite base dies 104a-104h defined in the wafer 102 for the inactive regions 1E, 1F, 1G, and 1H, e.g., for the various features in the inactive regions 1E, 1F, 1G, and 1H including through silicon vias (TSVs). In configurations, TSVs in the active regions 1A, 1B, 1C, and 1D (via in the middle) may be exposed by the aligner apparatus 114. In some configurations, TSVs in the active regions 1A, 1B, 1C, and 1D (via in the middle) by the stepper apparatus 110 in later exposure operations by the stepper apparatus 110. In configurations, the TSVs may be continuous (one or more holes per net at a later step going through multiple dielectrics). In configurations, the TSVs may be disjointed with many offset vias at different diameters depending upon the layer of the wafer 102. Any given layer of the composite base die 102 may include many TSVs. Jumpers between sections of the active regions 1A, 1B, 1C, and 1D that connect active dies 302a, 302b of FIG. 3 of the microelectronic device 300 may be exposed by either the stepper apparatus 110 or the aligner apparatus 114 depending upon factors such as, for example, capability, cost, etc.


After the aligner apparatus 114 is used for exposure operations in the first layer, an etch/pattern operation may be performed by an appropriate apparatus. A cleaning operation may also be performed. In configurations, the first layer may be an implant layer and thus, implant operations may then be performed, e.g., a n-doping or a p-doping implantation operation may be performed. Masks may then be stripped and a further cleaning operation may then be performed. The entire process may then be repeated for a second layer, third layer, etc., depending on the number of implant layers. Once the implant layers are completed, then subsequent layers, e.g., metal layers, may be processed in a similar manner. In configurations, there may be additional operations performed not discussed herein. The operations discussed herein are merely examples and are not meant to be limiting.


Additionally, the order of the steps may be varied. For example, there could be negative or positive resists applied. Also, the stepper apparatus 110 may expose active regions of a first layer and then the etching process may be performed for the exposed active regions in the first layer. The aligner apparatus 114 may then expose inactive regions in the first layer and then the etching process may be performed before additional operations are performed.


In configurations, materials for the processes described herein may be dictated by the most demanding process, e.g., the stepper apparatus is the most likely apparatus to dictate the needed materials. For example, the stepper apparatus 110 may dictate the materials used in the other lithographic processes performed by the aligner apparatus 114. Additionally, the light source of the stepper apparatus 110 needs to be compatible with the mask materials in all lithographic steps. Thus, in configurations, an antireflective coating may be placed on the wafer 102. Generally, the antireflective coating is placed over the entire top surface of the wafer 102. In configurations, in addition to or instead of the aligner apparatus 114, a direct imaging apparatus may be utilized for features in the inactive regions.



FIG. 4 illustrates a flow diagram of an example method 400 for performing exposure operations on a wafer utilizing both a stepper apparatus and an aligner apparatus. The exposure operations are performed with respect to large composite base dies or interposers, e.g., composite base dies 104, defined within the wafer, e.g., wafer 102, where the interposers will become a part of microelectronic devices, e.g., microelectronic device 300, by coupling with active dies or microchips, e.g., active dies 302a, 302b. In configurations, the composite base dies may be coupled to the active dies via “native interconnects” utilizing direct bonding techniques. In the flow diagram, the operations of method 400 are shown as individual blocks.


At block 402, a stepper apparatus may perform a first exposure operation on a first layer of the wafer with respect to a first active region of a first base die defined in the wafer. For example, the stepper apparatus 110 may perform a first exposure operation on a first layer of the wafer 102 with respect to the first active region, e.g., the active regions 1A, 1B, 1C, and 1D, of the first base die 104a defined in the wafer 102.


At block 404, a reticle of the stepper apparatus may be moved over a second active region of a second base die defined in the wafer. For example, the reticle 108 of the stepper apparatus 110 may be moved over the second active region, e.g., the active regions 1A, 1B, 1C, and 1D, of the second base die 104b defined in the wafer 102.


At block 406, the stepper apparatus may perform the first exposure operation on the first layer of the wafer with respect to the second active region of the second base die defined in the wafer. For example, the stepper apparatus 110 may perform the first exposure operation on the first layer of the wafer 102 with respect to the second active region, e.g., the active regions 1A, 1B, 1C, and 1D, of the second base die 104b defined in the wafer 102.


At block 408, an aligner apparatus may perform a second exposure operation on the first layer of the wafer with respect to a first inactive region of the first base die defined in the wafer and a second inactive region of the second base die defined in the wafer. For example, the aligner apparatus 114 may perform a second exposure operation on the first layer of the wafer 102 with respect to a first inactive region, e.g., the inactive regions 1E, 1F, 1G, and 1H, of the first base die 104a defined in the wafer 102 and a second inactive region, e.g., the inactive regions 1E, 1F, 1G, and 1H, of the second base die 104b defined in the wafer 102.


While the invention is described with respect to the specific examples and configurations, it is to be understood that the scope of the invention is not limited to these specific examples and configurations. Since other modifications and changes varied to fit particular operating requirements and environments will be apparent to those skilled in the art, the invention is not considered limited to the examples and configurations chosen for purposes of disclosure and covers all changes and modifications which do not constitute departures from the true spirit and scope of this invention.


Although the application describes configurations having specific structural features and/or methodological acts, it is to be understood that the claims are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are merely illustrative of some embodiments that fall within the scope of the claims of the application.

Claims
  • 1. A method of manufacturing two or more base dies on a single wafer comprising: exposing a first region of a first base die using a first photolithographic-exposure apparatus;subsequent to exposing the first region of the first base die, exposing a first region of a second base die using the first photolithographic-exposure apparatus;exposing a second region of the first base die using a second photolithographic-exposure apparatus; andexposing a second region of the second base die using the second photolithographic-exposure apparatus,wherein the first photolithographic-exposure apparatus is configured to resolve smaller features with respect to features resolved by the second photolithographic-exposure apparatus.
  • 2. The method of claim 1, wherein: a size of the first region of the first base die is substantially equal to a size of the first region of the second base die; andthe size of the first region of the first base die and the size of the first region of the second base die are both smaller than a size of the first base die and a size of the second base die.
  • 3. The method of claim 1, wherein the first region of the first base die and the first region of the second base die are non-contiguous.
  • 4. The method of claim 1, wherein an area of the first base die consists only of (i) the first region of the first base die and (ii) the second region of the first base die.
  • 5. The method of claim 1, wherein the first region of the first base die is disposed substantially at a center of the first base die.
  • 6. The method of claim 1, wherein (i) exposing the first region of the first base die and (ii) exposing the first region of the second base die are performed using a same photoresist layer.
  • 7. The method of claim 1, wherein (i) exposing the first region of the first base die and (ii) exposing the first region of the second base die are performed using different photoresist layers.
  • 8. The method of claim 1, further comprising: etching the single wafer between use of the first photolithographic-exposure apparatus and use of the second photolithographic-exposure apparatus.
  • 9. The method of claim 1, further comprising: bonding a first integrated circuit to a first portion of the first region of the first base die; andbonding a second integrated circuit to a second portion of the first region of the first base die.
  • 10. The method of claim 9, wherein: bonding the first integrated circuit to the first portion of the first region of the first base die comprises hybrid bonding; andbonding the second integrated circuit to the second portion of the first region of the first base die comprises hybrid bonding.
  • 11. The method of claim 10, wherein; a pitch of native interconnects in (i) the first region of the first base die and (ii) the first region of the second base die is in a range of one to 10 microns; anda pitch of native interconnects in (i) the second region of the first base die and (ii) the second region of the second base die is 100 microns or more.
  • 12. The method of claim 1, wherein: (i) exposing the second region of the first base die and (ii) exposing the second region of the second base die exposes metal fill for backend of line (BEOL) layers of the first base die and the second base die; andthe method further comprises: exposing the second region of the first base die using the second photolithographic-exposure apparatus a second time to expose through silicon vias (TSVs) in the second region of the first base die; andexposing the second region of the second base die using the second photolithographic-exposure apparatus a second time to expose through TSVs in the second region of the second base die.
  • 13. The method of claim 1, wherein the first photolithographic-exposure apparatus is a stepper apparatus.
  • 14. The method of claim 1, wherein the second photolithographic-exposure apparatus is an aligner apparatus.
  • 15. The method of claim 1, wherein the first region of the first base die comprises an active region.
  • 16. The method of claim 1, wherein the second region of the first base die comprises an inactive region.
  • 17. The method of claim 1, wherein: the first photolithographic-exposure apparatus performs exposing the first region of the first base die;then the second photolithographic-exposure apparatus performs exposing the second region of the first base die;then the first photolithographic-exposure apparatus performs exposing the first region of the second base die using the first photolithographic-exposure apparatus; andthen the second photolithographic-exposure apparatus performs exposing the second region of the second base die.
  • 18. The method of claim 1, wherein: the first photolithographic-exposure apparatus performs exposing the first region of the first base die;then the first photolithographic-exposure apparatus performs exposing the first region of the second base die using the first photolithographic-exposure apparatus;then the second photolithographic-exposure apparatus performs exposing the second region of the first base die; andthen the second photolithographic-exposure apparatus performs exposing the second region of the second base die.
  • 19. An arrangement comprising: a first photolithographic-exposure apparatus; anda second photolithographic-exposure apparatus,wherein the arrangement is configured to perform actions comprising: exposing a first region of a first base die using the first photolithographic-exposure apparatus;subsequent to exposing the first region of the first base die, exposing a first region of a second base die using the first photolithographic-exposure apparatus;exposing a second region of the first base die using the second photolithographic-exposure apparatus; andexposing a second region of the second base die using the second photolithographic-exposure apparatus,wherein the first photolithographic-exposure apparatus is configured to resolve smaller features with respect to features resolved by the second photolithographic-exposure apparatus.
  • 20. The arrangement of claim 19, wherein (i) exposing the first region of the first base die and (ii) exposing the first region of the second base die are performed using a same photoresist layer.
  • 21. The arrangement of claim 19, wherein (i) exposing the first region of the first base die and (ii) exposing the first region of the second base die are performed using different photoresist layers.
  • 22. The arrangement of claim 19, wherein: (i) exposing the second region of the first base die and (ii) exposing the second region of the second base die exposes metal fill for backend of line (BEOL) layers of the first base die and the second base die; andthe actions further comprise: exposing the second region of the first base die using the second photolithographic-exposure apparatus a second time to expose through silicon vias (TSVs) in the second region of the first base die; andexposing the second region of the second base die using the second photolithographic-exposure apparatus a second time to expose through TSVs in the second region of the second base die.
  • 23. The arrangement of claim 19, wherein the first photolithographic-exposure apparatus is a stepper apparatus.
  • 24. The arrangement of claim 19, wherein the second photolithographic-exposure apparatus is an aligner apparatus.
  • 25. The arrangement of claim 19, wherein the first region of the first base die comprises an active region.
  • 26. The arrangement of claim 19, wherein the second region of the first base die comprises an inactive region.
  • 27. The arrangement of claim 19, wherein: the first photolithographic-exposure apparatus performs exposing the first region of the first base die;then the second photolithographic-exposure apparatus performs exposing the second region of the first base die;then the first photolithographic-exposure apparatus performs exposing the first region of the second base die using the first photolithographic-exposure apparatus; andthen the second photolithographic-exposure apparatus performs exposing the second region of the second base die.
  • 28. The arrangement of claim 19, wherein: the first photolithographic-exposure apparatus performs exposing the first region of the first base die;then the first photolithographic-exposure apparatus performs exposing the first region of the second base die using the first photolithographic-exposure apparatus;then the second photolithographic-exposure apparatus performs exposing the second region of the first base die; andthen the second photolithographic-exposure apparatus performs exposing the second region of the second base die.
US Referenced Citations (456)
Number Name Date Kind
4612083 Yasumoto et al. Sep 1986 A
4818728 Rai et al. Apr 1989 A
4904328 Beecher et al. Feb 1990 A
4939568 Kato et al. Jul 1990 A
4998665 Hayashi Mar 1991 A
5087585 Hayashi Feb 1992 A
5236118 Bower et al. Aug 1993 A
5322593 Hasegawa et al. Jun 1994 A
5413952 Pages et al. May 1995 A
5418687 Chen May 1995 A
5419806 Huebner May 1995 A
5442235 Parrillo et al. Aug 1995 A
5489804 Pasch Feb 1996 A
5501003 Bernstein Mar 1996 A
5503704 Bower et al. Apr 1996 A
5504376 Sugahara et al. Apr 1996 A
5516727 Broom May 1996 A
5563084 Ramm et al. Oct 1996 A
5610431 Martin Mar 1997 A
5696406 Ueno Dec 1997 A
5734199 Kawakita et al. Mar 1998 A
5753536 Sugiyama et al. May 1998 A
5771555 Eda et al. Jun 1998 A
5821692 Rogers et al. Oct 1998 A
5866942 Suzuki et al. Feb 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6008126 Leedy Dec 1999 A
6034393 Sakamoto et al. Mar 2000 A
6054363 Sakaguchi et al. Apr 2000 A
6063968 Hubner et al. May 2000 A
6071761 Jacobs Jun 2000 A
6080640 Gardner et al. Jun 2000 A
6097096 Gardner et al. Aug 2000 A
6123825 Uzoh et al. Sep 2000 A
6147000 You et al. Nov 2000 A
6183592 Sylvester Feb 2001 B1
6218203 Khoury et al. Apr 2001 B1
6232150 Lin et al. May 2001 B1
6258625 Brofman et al. Jul 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6265775 Seyyedy Jul 2001 B1
6297072 Tilmans et al. Oct 2001 B1
6316786 Mueller et al. Nov 2001 B1
6322600 Brewer et al. Nov 2001 B1
6333120 DeHaven et al. Dec 2001 B1
6333206 Ito et al. Dec 2001 B1
6348709 Graettinger et al. Feb 2002 B1
6355153 Uzoh et al. Mar 2002 B1
6359235 Hayashi Mar 2002 B1
6374770 Lee Apr 2002 B1
6409904 Uzoh et al. Jun 2002 B1
6423640 Lee et al. Jul 2002 B1
6465892 Suga Oct 2002 B1
6515343 Shroff et al. Feb 2003 B1
6528894 Akram et al. Mar 2003 B1
6541382 Cheng et al. Apr 2003 B1
6552436 Burnette et al. Apr 2003 B2
6555917 Heo Apr 2003 B1
6579744 Jiang Jun 2003 B1
6583515 James et al. Jun 2003 B1
6589813 Park Jul 2003 B1
6593645 Shih et al. Jul 2003 B2
6600224 Farquhar et al. Jul 2003 B1
6624003 Rice Sep 2003 B1
6627814 Stark Sep 2003 B1
6632377 Brusic et al. Oct 2003 B1
6642081 Patti Nov 2003 B1
6656826 Ishimaru Dec 2003 B2
6660564 Brady Dec 2003 B2
6667225 Hau-Riege et al. Dec 2003 B2
6720212 Robl et al. Apr 2004 B2
6759738 Fallon et al. Jul 2004 B1
6828686 Park Dec 2004 B2
6837979 Uzoh et al. Jan 2005 B2
6847527 Sylvester et al. Jan 2005 B2
6864585 Enquist Mar 2005 B2
6867073 Enquist Mar 2005 B1
6887769 Kellar et al. May 2005 B2
6902987 Tong et al. Jun 2005 B1
6908027 Tolchinsky et al. Jun 2005 B2
6909194 Farnworth et al. Jun 2005 B2
6960492 Miyamoto Nov 2005 B1
6962835 Tong et al. Nov 2005 B2
6974769 Basol et al. Dec 2005 B2
7037755 Enquist May 2006 B2
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7109063 Jiang Sep 2006 B2
7109092 Tong Sep 2006 B2
7126212 Enquist et al. Oct 2006 B2
7193239 Leedy Mar 2007 B2
7193423 Dalton et al. Mar 2007 B1
7247948 Hedler et al. Jul 2007 B2
7335572 Tong et al. Feb 2008 B2
7354798 Pogge et al. Apr 2008 B2
7387944 Tong et al. Jun 2008 B2
7485968 Enquist et al. Feb 2009 B2
7553744 Tong et al. Jun 2009 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7807549 Tong et al. Oct 2010 B2
7998335 Feeney et al. Aug 2011 B2
8183127 Patti et al. May 2012 B2
8241961 Kim et al. Aug 2012 B2
8314007 Vaufredaz Nov 2012 B2
8349635 Gan et al. Jan 2013 B1
8357931 Schieck et al. Jan 2013 B2
8377798 Peng et al. Feb 2013 B2
8435421 Keleher et al. May 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8507979 Huang et al. Aug 2013 B1
8524533 Tong et al. Sep 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8742576 Thacker et al. Jun 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8916448 Cheng et al. Dec 2014 B2
8988299 Kam et al. Mar 2015 B2
9040385 Chen et al. May 2015 B2
9064937 Edelstein et al. Jun 2015 B2
9082627 Tong et al. Jul 2015 B2
9082644 Ossimitz et al. Jul 2015 B2
9093350 Endo et al. Jul 2015 B2
9142517 Liu et al. Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331032 Liu et al. May 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9343330 Brusic et al. May 2016 B2
9343369 Du et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9425155 Liu et al. Aug 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9472529 Vora Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9666559 Wang et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9859254 Yu et al. Jan 2018 B1
9865581 Jang et al. Jan 2018 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960129 Liu et al. May 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10090351 Ashidate et al. Oct 2018 B2
10103122 Liu et al. Oct 2018 B2
10147641 Enquist et al. Dec 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10211166 Matsuo Feb 2019 B2
10269708 Enquist et al. Apr 2019 B2
10269756 Uzoh Apr 2019 B2
10269778 Lin et al. Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10312275 Hynecek Jun 2019 B2
10418277 Cheng et al. Sep 2019 B2
10431614 Gambino et al. Oct 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10672654 Uzoh et al. Jun 2020 B2
10707087 Uzoh et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10796913 Lin Oct 2020 B2
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923408 Huang et al. Feb 2021 B2
10923413 DeLaCruz Feb 2021 B2
10937755 Shah et al. Mar 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11011494 Gao et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11037919 Uzoh et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11069734 Katkar Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11158573 Uzoh et al. Oct 2021 B2
11158606 Gao et al. Oct 2021 B2
11169326 Huang et al. Nov 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11195748 Uzoh et al. Dec 2021 B2
11205625 DeLaCruz et al. Dec 2021 B2
11244920 Uzoh Feb 2022 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11296044 Gao et al. Apr 2022 B2
11296053 Uzoh et al. Apr 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355404 Gao et al. Jun 2022 B2
11355443 Huang et al. Jun 2022 B2
11367652 Uzoh et al. Jun 2022 B2
11373963 DeLaCruz et al. Jun 2022 B2
11380597 Katkar et al. Jul 2022 B2
11385278 DeLaCruz et al. Jul 2022 B2
11387202 Haba et al. Jul 2022 B2
11387214 Wang et al. Jul 2022 B2
11393779 Gao et al. Jul 2022 B2
11462419 Haba Oct 2022 B2
11476213 Haba et al. Oct 2022 B2
11515291 DeLaCruz et al. Nov 2022 B2
11552041 Fountain, Jr. et al. Jan 2023 B2
11626363 Haba et al. Apr 2023 B2
11631647 Haba Apr 2023 B2
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020025665 Juengling Feb 2002 A1
20020074670 Suga Jun 2002 A1
20020094661 Enquist et al. Jul 2002 A1
20020113241 Kubota et al. Aug 2002 A1
20030092220 Akram May 2003 A1
20030109083 Ahmad Jun 2003 A1
20030129796 Bruchhaus et al. Jul 2003 A1
20030157748 Kim et al. Aug 2003 A1
20040072423 Jorne et al. Apr 2004 A1
20040084414 Sakai et al. May 2004 A1
20040126990 Ohta Jul 2004 A1
20040157407 Tong et al. Aug 2004 A1
20040217483 Hedler et al. Nov 2004 A1
20040262772 Ramanathan et al. Dec 2004 A1
20050104224 Huang et al. May 2005 A1
20050181542 Enquist Aug 2005 A1
20060024950 Choi et al. Feb 2006 A1
20060057945 Hsu et al. Mar 2006 A1
20060138368 Lee Jun 2006 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20070212870 Yang et al. Sep 2007 A1
20070222048 Huang Sep 2007 A1
20070257366 Wang et al. Nov 2007 A1
20070295456 Gudeman et al. Dec 2007 A1
20080122092 Hong May 2008 A1
20090108469 Kang et al. Apr 2009 A1
20090197408 Lehr et al. Aug 2009 A1
20090200668 Yang et al. Aug 2009 A1
20100164066 Di Franco Jul 2010 A1
20110074040 Frank et al. Mar 2011 A1
20110290552 Palmateer et al. Dec 2011 A1
20120211894 Aoyagi Aug 2012 A1
20120212384 Kam et al. Aug 2012 A1
20120319280 Suganuma et al. Dec 2012 A1
20130020704 Sadaka Jan 2013 A1
20130034948 Huang et al. Feb 2013 A1
20130075900 Shim et al. Mar 2013 A1
20130078783 Nieh et al. Mar 2013 A1
20130187287 Park et al. Jul 2013 A1
20130221527 Yang et al. Aug 2013 A1
20130256913 Black et al. Oct 2013 A1
20130284885 Chen et al. Oct 2013 A1
20130320556 Liu et al. Dec 2013 A1
20130328186 Uzoh et al. Dec 2013 A1
20140131869 Pendse May 2014 A1
20140145338 Fujii et al. May 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140206109 Ossimitz et al. Jul 2014 A1
20140217593 Chen et al. Aug 2014 A1
20140225795 Yu Aug 2014 A1
20140252635 Tran et al. Sep 2014 A1
20140264948 Chou et al. Sep 2014 A1
20140332980 Sanders et al. Nov 2014 A1
20150064498 Tong Mar 2015 A1
20150096798 Uzoh Apr 2015 A1
20150097022 Di Cioccio et al. Apr 2015 A1
20150108644 Kuang et al. Apr 2015 A1
20150155263 Farooq et al. Jun 2015 A1
20150200073 Jen et al. Jul 2015 A1
20150206823 Lin et al. Jul 2015 A1
20150214191 Lee et al. Jul 2015 A1
20150228621 Kumar et al. Aug 2015 A1
20150255417 Farooq et al. Sep 2015 A1
20150307819 Ida et al. Oct 2015 A1
20150340269 Rivoire et al. Nov 2015 A1
20150340371 Lue Nov 2015 A1
20150364434 Chen et al. Dec 2015 A1
20160027761 Co et al. Jan 2016 A1
20160086923 Best Mar 2016 A1
20160148816 Lee et al. May 2016 A1
20160181228 Higuchi et al. Jun 2016 A1
20160190103 Kabe et al. Jun 2016 A1
20160322414 Chen et al. Nov 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170053897 Lai et al. Feb 2017 A1
20170062366 Enquist Mar 2017 A1
20170179029 Enquist et al. Jun 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170250160 Wu et al. Aug 2017 A1
20170278698 Ikegawa et al. Sep 2017 A1
20170358551 Liu et al. Dec 2017 A1
20170365487 Shen et al. Dec 2017 A1
20180130784 Pagani May 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182665 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180204798 Enquist et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180226371 Enquist Aug 2018 A1
20180226375 Enquist et al. Aug 2018 A1
20180269325 Cheng et al. Sep 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180286805 Huang et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20180337129 Li et al. Nov 2018 A1
20180350674 Uzoh Dec 2018 A1
20190057756 Kim et al. Feb 2019 A1
20190088535 Yan et al. Mar 2019 A1
20190096741 Uzoh et al. Mar 2019 A1
20190096842 Fountain, Jr. et al. Mar 2019 A1
20190109042 Katkar et al. Apr 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190157334 Wei et al. May 2019 A1
20190189603 Wang et al. Jun 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035630 Kameshima Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200075534 Gao et al. Mar 2020 A1
20200075553 DeLaCruz et al. Mar 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200321307 Uzoh Oct 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200365575 Uzoh et al. Nov 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210066233 Fountain, Jr. et al. Mar 2021 A1
20210098412 Haba et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220005784 Gao et al. Jan 2022 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
20230005850 Fountain, Jr. Jan 2023 A1
20230019869 Mirkarimi et al. Jan 2023 A1
20230036441 Haba et al. Feb 2023 A1
20230067677 Lee et al. Mar 2023 A1
20230069183 Haba Mar 2023 A1
20230100032 Haba et al. Mar 2023 A1
20230115122 Uzoh et al. Apr 2023 A1
20230118156 Gao et al. Apr 2023 A1
20230122531 Uzoh Apr 2023 A1
20230123423 Gao et al. Apr 2023 A1
20230125395 Gao et al. Apr 2023 A1
20230130259 Haba et al. Apr 2023 A1
20230132632 Katkar et al. May 2023 A1
20230140107 Uzoh et al. May 2023 A1
20230142680 Guevara et al. May 2023 A1
20230154816 Haba et al. May 2023 A1
20230154828 Haba et al. May 2023 A1
20230187264 Uzoh et al. Jun 2023 A1
20230187317 Uzoh Jun 2023 A1
20230187412 Gao et al. Jun 2023 A1
20230197453 Fountain, Jr. et al. Jun 2023 A1
20230197496 Theil Jun 2023 A1
20230197559 Haba et al. Jun 2023 A1
20230197560 Katkar et al. Jun 2023 A1
20230197655 Theil et al. Jun 2023 A1
20230207402 Fountain, Jr. et al. Jun 2023 A1
20230207437 Haba Jun 2023 A1
20230207474 Uzoh et al. Jun 2023 A1
20230207514 Gao et al. Jun 2023 A1
20230215836 Haba et al. Jul 2023 A1
20230245950 Haba et al. Aug 2023 A1
20230268300 Uzoh et al. Aug 2023 A1
Foreign Referenced Citations (66)
Number Date Country
105140144 Dec 2015 CN
106653720 May 2017 CN
106920795 Jul 2017 CN
107039380 Aug 2017 CN
107731668 Feb 2018 CN
107993927 May 2018 CN
107993928 May 2018 CN
109155301 Jan 2019 CN
109417073 Mar 2019 CN
109417075 Mar 2019 CN
109417077 Mar 2019 CN
109643643 Apr 2019 CN
109844915 Jun 2019 CN
0 465 227 Jan 1992 EP
2 863 420 Apr 2015 EP
61-030059 Feb 1986 JP
01-168040 Jul 1989 JP
4-259249 Sep 1992 JP
05-029183 Feb 1993 JP
5-198739 Aug 1993 JP
6-13456 Jan 1994 JP
6-260594 Sep 1994 JP
H07-66093 Mar 1995 JP
H7-249749 Sep 1995 JP
7-283382 Oct 1995 JP
8-78645 Mar 1996 JP
8-125121 May 1996 JP
8-186235 Jul 1996 JP
9-120979 May 1997 JP
10-135404 May 1998 JP
10-223636 Aug 1998 JP
10-242383 Sep 1998 JP
11-186120 Jul 1999 JP
2000-100679 Apr 2000 JP
2000-260934 Sep 2000 JP
2000-299379 Oct 2000 JP
2000-311982 Nov 2000 JP
2001-102479 Apr 2001 JP
2001-326326 Nov 2001 JP
2002-026123 Jan 2002 JP
2002-516033 May 2002 JP
2002-353416 Dec 2002 JP
2002-368159 Dec 2002 JP
2003-023071 Jan 2003 JP
2004-200547 Jul 2004 JP
2005-086089 Mar 2005 JP
2005-093486 Apr 2005 JP
2005-135988 May 2005 JP
2013-033786 Feb 2013 JP
2013-033900 Feb 2013 JP
2013-243333 Dec 2013 JP
2018-160519 Oct 2018 JP
2019-129199 Aug 2019 JP
10-2012-0106366 Sep 2012 KR
10-2015-0097798 Aug 2015 KR
20170109865 Oct 2017 KR
476145 Feb 2002 TW
WO 0161743 Aug 2001 WO
WO 03054954 Jul 2003 WO
WO 2005043584 May 2005 WO
WO 2006100444 Sep 2006 WO
WO 2012013162 Feb 2012 WO
WO 2016185883 Nov 2016 WO
WO 2017151442 Sep 2017 WO
WO 2017155002 Sep 2017 WO
WO 2019146427 Aug 2019 WO
Non-Patent Literature Citations (123)
Entry
U.S. Appl. No. 16/905,766, filed Jun. 18, 2020.
International Search Report and Written Opinon for PCT Application PCT/US2020/038642 dated Oct. 7, 2020, a counterpart foreign application for U.S. Appl. No. 16/905,766, pp. 1-pp. 13.
Amirfeiz et al., “Formation of silicon structures by plasma-activated wafer bonding,” Journal of the Electrochemical Society, 2000, vol. 147, No. 7, pp. 2693-2698.
Appeal Decision of Rejection dated Apr. 12, 2016 in Japanese Patent Application No. 2008-526104, in 14 pages.
Aspar, B. et al., “The smart-cut process: Status and developments,” Proc. Electrochem Soc., 1999, vol. 99-53, pp. 48-59.
Bower, R. et al., “Low temperature Si3N4 direct bonding,” Appl. Phys. Lett., Jun. 28, 1993, vol. 62, No. 26, pp. 3485-3487.
Bush, Steve, “Electronica: Automotive power modules from on Semi,” ElectronicsWeekly.com, indicating an ONSEMI AR0820 product was to be demonstrated at a Nov. 2018 trade show, https://www.electronicsweekly.com/news/products/power-supplies/electronica-automotive-power-modules-semi-2018-11/ (published Nov. 8, 2018; downloaded Jul. 26, 2023).
Ceramic Microstructures: Control at the Atomic Level, Recent Progress in Surface Activated Bonding, 1998, pp. 385-389.
“Chemical Mechanical Polishing (CMP) Metrology with Advanced Surface Polisher,” Park Systems, 4 pages.
Chung et al., “Room temperature GaAseu + Si and InPeu + Si wafer direct bonding by the surface activate bonding method,” Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Jan. 2, 1997, vol. 121, Issues 1-4, pp. 203-206.
Chung et al., “Wafer direct bonding of compound semiconductors and silicon at room temperature by the surface activated bonding method,” Applied Surface Science, Jun. 2, 1997, vols. 117-118, pp. 808-812.
D'Agostino, R., “Plasma etching of Si and SiO2 in SF6—O2 mixtures,” J. Appl. Phys., Jan. 1981, vol. 52, No. 1, pp. 162-167.
Decision—Request for Trail Granted, Inter Partes Review, U.S. Pat. No. 7,485,968, Case IPR2013-00381, dated Dec. 18, 2013, in 27 pages.
Declaration of Richard A. Blanchard in Support of Petition for inter partes review of U.S. Pat. No. 7,485,968, dated Jun. 13, 2013, pp. 1-18.
Derbyshire, Katherine, “The darker side of hybrid bonding,” Semiconductor Engineering, Dec. 17, 2020, https://semiengineering.com/author/katherine/, 6 pages.
Dysard, Jeffrey M. et al., “CMP solutions for the integration of high-k metal gate technologies,” ECS Transactions, 2010, vol. 33, Issue 10, pp. 77-89.
Fan et al., “Copper water bonding,” Electrochem. Solid-State Lett., U.S.A., The Electrochemical Society, Aug. 6, 1999, vol. 2, No. 10, pp. 534-536.
Fang, S.J. et al., “Advanced process control in dielectric chemical mechanical polishing (CMP),” Texas Instruments, Silicon Technology Development, 8 pages.
Farrens et al., “Chemical free room temperature wafer to wafer direct bonding,” J. Electrochem. Soc., The Electrochemical Society, Inc., Nov. 1995, vol. 142, No. 11. pp. 3949-3955.
Farrens et al., “Chemical free wafer bonding of silicon to glass and sapphire,” Electrochemical Society Proceedings vol. 95-7, 1995, pp. 72-77.
Final Written Decision, Inter PartesReview, U.S. Pat. No. 7,485,968, Case IPR2013-00381, dated Feb. 27, 2014, in 3 pages.
Gao, Guilian et al., “Scaling package interconnects below 20 m pitch with hybrid bonding,” 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), IEEE, May 29, 2018, pp. 314-322, XP033380016.
Gösele et al., “Semiconductor Wafer Bonding: A flexible approach to materials combinations in microelectronics; micromechanics and optoelectronics,” IEEE, 1997, pp. 23-32.
Gösele et al., “Silicon layer transfer by wafer bonding,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 395-409.
Handbook of Thin Film Technology, Maissel and Glang, 1983 Reissue, pp. 12-24.
Harendt, C. et al., “Vertical polysilicon interconnects by aligned wafer bonding,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 501-508.
Hayashi, Y. et al., “Fabrication of three-dimensional IC using cumulatively bonded IC (Cubic) technology,” VSLI Tech. Dog., 1990, pp. 95-96.
Hizukuri, M. et al., “Dynamic strain and chip damage during ultrasonic flip chip bonding,” Jpn. J. Appl. Phys. 40, 2001, pp. 3044-3048.
Hosoda et al., “Effect of the surface treatment on the room-temperature bonding of Al to Si and SiO2,” Journal of Materials Science, Jan. 1, 1998, vol. 33, Issue 1, pp. 253-258.
Hosoda et al., “Room temperature GaAs—Si and InP—Si wafer direct bonding by the surface activated bonding method,” Nuclear Inst. and Methods in Physics Research B, 1997, vol. 121, Nos. 1-4, pp. 203-206.
Howlader et al., “A novel method for bonding of ionic wafers,” Electronics Components and Technology Conference, 2006, IEEE, pp. 7.
Howlader et al., “Bonding of p-Si/n-InP wafers through surface activated bonding method at room temperature,” Indium Phosphide and Related Materials, 2001, IEEE International Conference on, pp. 272-275.
Howlader et al., “Characterization of the bonding strength and interface current of p-Si/ n-InP wafers bonded by surface activated bonding method at room temperature,” Journal of Applied Physics, Mar. 1, 2002, vol. 91, No. 5, pp. 3062-3066.
Howlader et al., “Investigation of the bonding strength and interface current of p-SionGaAs wafers bonded by surface activated bonding at room temperature,” J. Vac. Sci. Technol. B 19, Nov./Dec. 2001, pp. 2114-2118.
“Hybrid Bonding—Patent Landscape Analysis,” from Technologies to IP Business Intelligence, KnowMade Patent & Technology Intelligence, www.kmowmade.com, Nov. 2019, 81 pages.
Hymes, S. et al., “Determination of the planarization distance for copper CMP process,” 6 pages.
Iida, A. et al., “The study of initial mechanism for Al—Au solid phase diffusion flip-chip bonding,” Jpn. J. Appl. Phys. 40, 1997, pp. 3044-3661.
Itoh et al., “Characteristics of fritting contacts utilized for micromachined wafer probe cards,” 2000 American Institute of Physics, AIP Review of Scientific Instruments, vol. 71, 2000, pp. 2224.
Itoh et al., “Characteristics of low force contact process for MEMS probe cards,” Sensors and Actuators A: Physical, Apr. 1, 2002, vols. 97-98, pp. 462-467.
Itoh et al., “Development of MEMS IC probe card utilizing fritting contact,” Initiatives of Precision Engineering at the Beginning of a Millennium: 10th International Conference on Precision Engineering (ICPE) Jul. 18-20, 2001, Yokohama, Japan, 2002, Book Part 1, pp. 314-318.
Itoh et al., “Room temperature vacuum sealing using surface activated bonding method,” The 12th International Conference on Solid State Sensors, Actuators and Microsystems, Boston, Jun. 8-12, 2003, 2003 IEEE, pp. 1828-1831.
Juang, Jing-Ye et al., “Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient,” Scientific Reports, Sep. 17, 2018, vol. 8, 11 pages.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS Ics,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Kim et al., “Low temperature direct Cu—Cu bonding with low energy ion activation method,” Electronic Materials and Packaging, 2001, IEEE, pp. 193-195.
Kim et al., “Room temperature Cu—Cu direct bonding using surface activated bonding method,” J. Vac. Sci. Technol., 2003 American Vacuum Society, Mar./Apr. 2003, vol. 21, No. 2, pp. 449-453.
Kim et al., “Wafer-scale activated bonding of Cu—CU, Cu—Si, and Cu—SiO2 at low temperature,” Proceedings—Electrochemical Society, 2003, vol. 19, pp. 239-247.
Kissinger, G. et al., “Void-free silicon-wafer-bond stregthening in the 200-400 C range,” Sensors and Actuators A, 1993, vol. 36, pp. 149-156.
Krauter, G. et al., “Low temperature silicon direct bonding for application in micromechanics: bonding energies for different combinations of oxides,” Sensors and Actuators A, 1998, vol. 70, pp. 271-275.
Kunio, Takemitsu, “Three dimensional IC technology, using cubic method,” Journal of the JWS, Japan Welding Society, Apr. 5, 1994, vol. 63, No. 3, pp. 185-189.
Lee, D. et al., “Slurry components in metal chemical mechanical planarization (CMP) process: A review,” International Journal of Precision Engineering and Manufacturing, Dec. 2016, vol. 17, No. 12, pp. 1751-1762.
Li, Yuzhuo, “Key factors that influence step height reduction efficiency and defectivity during metal CMP,” Clarkson University, Levitronix CMP Users' Conference 2006, 2006, 32 pages.
Li, Y.A. et al., “Low temperature copper to copper direct bonding,” Jpn. Appl. Phys. 37, 1998, pp. L1068-L1069.
Li, Y.A. et al., “Systematic low temperature silicon bonding using pressure and temperature,” Jpn. J. Appl. Phys., vol. 37, 1998, pp. 737-741.
Liu, Zi-Yu et al. “Detection and formation mechanism of micro-defects in ultrafine pitch Cu—Cu direct bonding,” Chin. Phys. B, 2016, vol. 25, No. 1, pp. 018103-1-018103-7.
Liu, C. et al., “Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu,” Scientific Reports, May 12, 2015, 5:09734, pp. 1-11.
Lu, L. et al., “Grain growth and strain release in nanocrystalline copper,” Journal of Applied Physics, vol. 89, Issue 11, pp. 6408.
Luo, Ying, “Slurry Chemistry Effects on Copper Chemical Mechanical Planarization,” University of Central Florida STARS, Electronic Theses and Disserations, 2004, Paper 36, 111 pages.
Matsuzawa et al., “Room-temperature interconnection of electroplated Au microbump by means of surface activated bonding method,” Electornic Components and Technology Confererence, 2001, 51st Proceedings, IEEE, pp. 384-387.
Monsma et al., “Development of the spin-valve transistor,” IEEE Tran. Magnet., vol. 33, No. 5, Sep. 1997, pp. 3495-3499.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences-Nanoscience and Nanotechnology, 2010, 11 pages.
Morrison, Jim et al., “Samsung Galaxy S7 Edge Teardown,” Tech Insights (posted Apr. 24, 2016), includes description of hybrid bonded Sony IMX260 dual-pixel sensor, https://www.techinsights.com/blog/samsung-galaxy-s7-edge-teardown, downloaded Jul. 11, 2023, 9 pages.
Mott, D. et al., “Synthesis of size-controlled and shaped copper nanoparticles,” Langmuir, 2007, vol. 23, No. 10, pp. 5740-5745.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1 (a)-1 (l), 6 pages.
Onodera et al., “The effect of prebonding heat treatment on the separability of Au wire from Ag-plated Cu alloy substrate,” Electronics Packaging Manufacturing, IEEE Transactions, Jan. 2002, vol. 25, Issue 1, pp. 5-12.
Onsemi AR0820 image, cross section of a CMOS image sensor product. The part in the image was shipped on Sep. 16, 2021. Applicant makes no representation that the part in the image isidentical to the part identified in the separately submitted reference BUSH, Nov. 8, 2018, ElectronicsWeekly.com (“BUSH article”); however, the imaged part and the part shown in the BUSH article share the part number “ONSEMI AR0820.”
Ortleb, Thomas et al., “Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profiler,” Proc. of SPIE, 2008, vol. 6922, 11 pages.
Paul, E. et al., “A model of copper CMP,” J. Electrochem. Soc., 2005, vol. 152, Issue 4, pp. G322-G328.
Petition for Inter Partes Review of U.S. Pat. No. 7,485,968, IPR 2013-00381, filed Jun. 21, 2013, pp. 1-49.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
Reiche et al., “The effect of a plasma pretreatment on the Si/Si bonding behaviouir,” Electrochemical Society Proceedings, 1998, vol. 97-36, pp. 437-444.
Rhoades, Robert L., “The Dark Art of CMP,” Future Fab International, Issue 24, 10 pages.
Roberds et al., “Low temperature , in situ, plasma activated wafer bonding,” Electrochemical Society Proceedings, 1997, vol. 97-36, pp. 598-606.
Rosales-Yeomans, D. et al., “Evaluation of pad groove designs under reduced slurry flow rate conditions during copper CMP,” Journal of the Electrochemical Society, 2008, vol. 155, No. 10, pp. H812-H818.
Samsung Galaxy S7 Edge Teardown, posted Apr. 24, 2016, contributing authors: Jim Morrison, Ray Fontaine, Dick James and Daniel Yang, https://www.techinsights.com/blog/samsung-galaxy-s7-edge-teardown, last accessed on May 16, 2022, 6 pages.
Sart C., et al., “Cu/SiO2 hybrid bonding; Finite element modeling and experimental characterization,” 2016 6th Electronic System-Integration Technology Conference (ESTC), IEEE, Sep. 13, 2016, pp. 1-7, XP033015798.
Schmidt, Martin A., Wafer-To-Wafer Bonding for Microstructure Formation, Proceedings of the IEEE, vol. 86, No. 8, 1998, pp. 1575-1586.
Shigetou et al., “Cu—Cu direct bonding for bump-less interconnect,” Research Center for Advanced Science and Technolog., University of Tokyo, Optoelectronic Packaging and Solder Bumps, (2002), pp. 628-639.
Shigetou et al., “Room temperature bonding of ultra-fine pitch and low-profiled Cu electrodes for bump-less interconnect,” 2003 Electronic Components and Technology Conference, pp. 848-852.
Shigetou et al., “Room-temperature direct bonding of CMP—Cu film for bumpless interconnection,” Electronic Components and Technology Confererence, 51st Proceedings, 2001, IEEE, pp. 755-760.
Shimatsu, T. et al., “Metal bonding during sputter film deposition,” J. Vac. Sci. Technol. A 16(4), 1998, pp. 2125-2131.
Shingo et al., “Design and fabrication of an electrostatically actuated MEMS probe card,” Tranducers, Solid-State Sensors, Actuators and Microsystems, 12th International Conference, Jun. 8-12, 2003, vol. 2, pp. 1522-1525.
Sony IMX260 image, cross section of Sony dual-pixel sensor product labeled IMX260, showing peripheral probe and wire bond pads in a bonded structure. The part in the image was shipped in Apr. 2016. Applicant makes no representation that the part in the image is identical to the part identified in the separately submitted reference Morrison et al. (Tech Insights article dated Apr. 24, 2016), describing and showing a similar sensor product within the Samsung Galaxy S7; however the imaged part and the part shown in the Morrison et al. article share the part name “Sony IMX260.”
Steinkirchner, J. et al., “Silicon wafer bonding via designed monolayers,” Advanced Materials, 1995, vol. 7, No. 7, 7 pages.
Suga et al., “A new approach to Cu—Cu direct bump bonding,” IEMT/IMC Symposium, 1997, Joint International Electronic Manufacturing Symposium and the International Microelectronics Conference, Apr. 16-18, 1997, IEEE, pp. 146-151.
Suga et al., “A new bumping process using lead-free solder paste,” Electronics Packaging Manufacturing, IEEE Transactions on (vol. 25, Issue 4), IEEE, Oct. 2002, pp. 253-256.
Suga et al., “A new wafer-bonder of ultra-high precision using surface activated bonding (SAB) concept,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1013-1018.
Suga et al., “Bump-less interconnect for next generation system packaging,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1003-1008.
Suga et al., “Surface activated bonding—an approach to joining at room temperature,” Ceramic Transactions: Structural Ceramics Joining II, The American Ceramic Society, 1993, pp. 323-331.
Suga et al., “Surface activated bonding for new flip chip and bumpless interconnect systems,” Electronic Components and Technology Conference, 2002, IEEE, pp. 105-111.
Suga, “UHV room temperature joining by the surface activated bonding method,” Advances in science and technology, Techna, Faenza, Italie, 1999, pp. C1079-C1089.
Suga, T., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging,” The University of Tokyo, Research Center for Science and Technology, 2000 Electronic Components and Technology Conference, 2000 IEEE, pp. 702-705.
Suga, T., “Room-temperature bonding on metals and ceramics,” Proceedings of the Second International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, The Electrochemical Society Proceedings, vol. 93-29 (1993), pp. 71-80.
Takagi et al, “Wafer-scale room-temperature bonding between silicon and ceramic wafers by means of argon-beam surface activation,” Micro Electro Mechanical Systems, 2001, MEMS 2001, The 14th IEEE International Conference, Jan. 25, 2001, IEEE, pp. 60-63.
Takagi et al., “Effect of surface roughness on room-temperature wafer bonding by Ar beam surface activation,” Japanese Journal of Applied Physics, 1998, vol. 37, Part 1, No. 1, pp. 4197.
Takagi et al., “Low temperature direct bonding of silicon and silicon dioxide by the surface activation method,” Solid State Sensors and Actuators, 1997, Transducers '97 Chicago, 1997 International Conference, vol. 1, pp. 657-660.
Takagi et al., “Room temperature silicon wafer direct bonding in vacuum by Ar beam irradiation,” Micro Electro Mehcanical Systems, MEMS '97 Proceedings, 1997, IEEE, pp. 191-196.
Takagi et al., “Room-temperature bonding of lithium niobate and silicon wafers by argon-beam surface activation,” Appl. Phys. Lett., 1999. vol. 74, pp. 2387.
Takagi et al., “Room-temperature wafer bonding of Si to LiNbO3, LiTaO3 and Gd3Ga5O12 by Ar-beam surface activation,” Journal of Micromechanics and Microengineering, 2001, vol. 11, No. 4, pp. 348.
Takagi et al., “Room-temperature wafer bonding of silicon and lithium niobate by means of arbon-beam surface activation,” Integrated Ferroelectrics: An International Journal, 2002, vol. 50, Issue 1, pp. 53-59.
Takagi et al., “Surface activated bonding silicon wafers at room temperature,” Appl. Phys. Lett. 68, 2222 (1996).
Takagi et al., “Wafer-scale spontaneous bonding of silicon wafers by argon-beam surface activation at room temperature,” Sensors and Actuators A: Physical, Jun. 15, 2003, vol. 105, Issue 1, pp. 98-102.
Tong et al., “Low temperature wafer direct bonding,” Journal of Microelectromechanical Systems, IEEE Service Center, Piscataway, NJ, vol. 3, No. 1, Mar. 1, 1994, pp. 29-35, XP-000885425, ISSN 1057-7157.
Tong, Q.Y. et al., “Semiconductor wafer bonding,” Materials Chemistry and Physics, R25, 1999, 239 pages (exerpts).
Tong, Q.Y. et al., “Semiconductor wafer bonding: recent developments,” Materials Chemistry and Physics, vol. 37, 1994, pp. 101-127.
Tong, Q.Y. et al., “Semiconductor wafer bonding: science and technology,” 1999, 120 pages.
Tong, Q.Y. et al., “Semiconductor wafer bonding: science and technology,” 1999, 159 pages.
Tong, Q.Y. et al., “Wafer bonding and layer splitting for microsystems,” Advanced Materials, 1999, vol. 11, No. 17, pp. 1409-1425.
Topol et al., “Enabling technologies for wafer-level bonding of 3D MEMS and integrated circuit structures,” 2004 Electronics Components and Technology Conference, 2004 IEEE, pp. 931-938.
Tsau et al., “Fabrication process and plasticity of gold-gold thermocompression bonds,” Mater. Soc. Symp. Proc. 605, 171 (1999).
Tsau, C.H. et al., “Characterization of low temperature wafer-level gold—gold thermocompression bonds,” Mater. Soc. Symp. Proc. 605, 1999, pp. 171-176.
Vossen, J. et al., “Thin Film Processes II,” Academic Press, 1991, 62 pages.
Vossen, J. et al., “Thin Film Processes,” Academic Press, 1978, 62 pages.
Wang et al., “Reliability and microstructure of Au—Al and Au—Cu direct bonding fabricated by the Surface Activated Bonding,” Electronic Components and Technology Conference, 2002, IEEE, pp. 915-919.
Wang et al., “Reliability of Au bump—Cu direct interconnections fabricated by means of surface activated bonding method,” Microelectronics Reliability, May 2003, vol. 43, Issue 5, pp. 751-756.
Warner, K. et al., “Low-temperature oxide-bonded three-dimensional integrated circuits,” IEEE International SOI Conference, Oct. 2012, pp. 123-125.
Weldon et al., “Physics and chemistry of silicon wafer bonding investigated by infrared absorption spectroscopy,” Journal of Vacuum Science & Technology B, Jul./Aug. 1996, vol. 14, No. 4, pp. 3095-3106.
Wikipedia, “Chemical bond,” URL http://en.wikipedia.org/wiki/chemical_bond, accessed on Mar. 31, 2010, 10 pages.
Wikipedia, “Van der Waals force,” URL https://en.wikipedia.org/wiki/Van_der_Waals_force, originally accessed on Mar. 31, 2010, 7 pages.
Xu et al., “New Au—Al interconnect technology and its reliability by surface activated bonding,” Electronic Packaging Technology Proceedings, Oct. 28-30, 2003, Shanghai, China, pp. 479-483.
Yablonovitch, E. et al., “Van der Waals bonding of GaAs on Pd leads to a permanent, solid-phase-topotaxial metallurgical bond,” Appl. Phys. Lett. 59, 1991, pp. 3159-3161.
Image showing a partial cross-section of Sony IMX260 BSI image sensor from Samsung Galaxy S7; product believed to be released Mar. 2016.
Image showing a partial cross-section of Omnivision OV16B10 16MP BSI image sensor from Huawei P20 Lite Phone; product believed to be released May 2018.
Related Publications (1)
Number Date Country
20220216180 A1 Jul 2022 US
Continuations (1)
Number Date Country
Parent 17075489 Oct 2020 US
Child 17579259 US