PHOTORESIST UNDERLAYER COMPOSITIONS AND PATTERNING METHODS

Abstract
A method of forming a pattern on a substrate, the method including: forming a photoresist underlayer over a surface of the substrate, wherein the photoresist underlayer is formed from a composition comprising a polymer and a solvent, and the photoresist underlayer has a carbon content of greater than 47 at %;subjecting the photoresist underlayer to a a metal precursor, where the metal precursor infiltrates a free volume of the photoresist underlayer; andexposing the metal precursor-treated photoresist underlayer to an oxidizing agent to provide a metallized photoresist underlayer.
Description
FIELD

The present invention relates generally to field of manufacturing electronic devices, and more specifically to the field of materials for use in semiconductor manufacture.


BACKGROUND

Multilayer resist processes (such as three- and four-layer processes) have been devised where a high aspect ratio is desired. Such multilayer processes use a resist top layer, one or more middle layers, and a bottom layer (or underlayer). In such multilayer resist processes, the top photoresist layer is imaged and developed in typical fashion to provide a resist pattern. The pattern is then transferred to the one or more middle layers, typically by etching. Each middle layer is selected with sufficient etch selectivity such that a different etch process may be used such as different plasma etches for pattern transfer. Finally, the pattern is transferred to the underlayer by etching such as reactive ion etch (RIE). Such middle layers may be composed of various materials. The underlayer material is selected to provide desired antireflective properties, planarizing properties, as well as etch selectivity.


Photoresist underlayer compositions and, in particular, Spin-on Carbon (SOC) compositions, are used in the semiconductor industry as etch masks for lithography in advanced technology nodes for integrated circuit manufacturing. These compositions are often used in trilayer and quad-layer photoresist integration schemes, where an organic or silicon containing anti-reflective coating and patternable photoresist film layers are also used in the full film stack.


An ideal photoresist underlayer material should possess certain specific characteristics: it should be capable of being cast onto a substrate by a spin-coating process, should be thermally set upon heating with low out-gassing and sublimation, should be soluble in common solvents for good spin bowl compatibility, should have appropriate optical properties to work in conjunction with the anti-reflective coating layer to impart low reflectivity necessary for photoresist imaging, and should have high thermal stability to avoid being damaged during later processing steps. In addition to these requirements, the ideal photoresist underlayer material has to provide a planar film upon spin-coating and thermal curing over a substrate with topography and sufficient dry etch selectivity to material layers located above and below the photoresist underlayer films in order to transfer the patterns into the substrate in an accurate manner.


As leading nodes in semiconductor fabrication require patterning of extremely high aspect ratio features, especially in the case of 3D NAND memory architectures, semiconductor manufacturers are often pushed to the technical limit of spin-on hardmask layers to serve as etch masks. In order to produce high aspect ratio contacts for 3D NAND applications, manufacturers require spin-on materials with further improved etch resistance compared to known materials. In order to meet this need, a process of vapor phase infiltration was developed whereby metal precursors are infused into an organic film and then oxidized to metal oxide to generate an organic-inorganic hybrid film. In the case of thick SOC films, however, the metal infiltration process can be somewhat limited, e.g., if metal precursors interact with film components during diffusion and are prevented from diffusing to the bottom of the film. Accordingly, metal diffusion relative to film depth will essentially become blocked at some point in the film, or lead to metal infiltrated films with a relatively steep concentration gradients of the infiltrated metal precursor.


There remains a need for new photoresist underlay ers with substantially improved etch selectivity, particularly improved etch resistance to O2 and CF4 plasmas, and the use of such materials, for example, in 3D NAND memory architectures or integrated circuits with high aspect ratio features.


SUMMARY

A method of forming a pattern on a substrate, the method comprising:


forming a photoresist underlayer over a surface of the substrate, wherein the photoresist underlayer is formed from a composition comprising a polymer and a solvent, and the photoresist underlayer has a carbon content of greater than 47 at %;


subjecting the photoresist underlayer to a metal precursor, wherein the metal precursor infiltrates a free volume of the photoresist underlayer; and


exposing the metal precursor-treated photoresist underlayer to an oxidizing agent to provide a metallized photoresist underlayer.







DETAILED DESCRIPTION

Reference will now be made in detail to exemplary embodiments, examples of which are illustrated in the present description. In this regard, the present exemplary embodiments may have different forms and should not be construed as being limited to the descriptions set forth herein. Accordingly, the exemplary embodiments are merely described below, by referring to the figures, to explain aspects of the present description. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.


As used herein, the terms “a,” “an,” and “the” do not denote a limitation of quantity and are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. “Or” means “and/or” unless clearly indicated otherwise. All ranges disclosed herein are inclusive of the endpoints, and the endpoints are independently combinable with each other. The suffix “(s)” is intended to include both the singular and the plural of the term that it modifies, thereby including at least one of that term. “Optional” or “optionally” means that the subsequently described event or circumstance can or cannot occur, and that the description includes instances where the event occurs and instances where it does not.


It will be understood that although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.


When an element is referred to as being “on” another element, it may be directly in contact with the other element or intervening elements may be present therebetween. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. It is to be understood that the described components, elements, limitations, and/or features of aspects may be combined in any suitable manner in the various aspects.


Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the present disclosure, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.


It will be understood that the term “polymer” refers to a homopolymer as well as a copolymer prepared from two or more monomers. The term “polymer” also refers to a mixture of two or more polymers. The polymer is prepared with procedures known in the art.


As used herein, the term “hydrocarbon group” refers to an organic compound having at least one carbon atom and at least one hydrogen atom, optionally substituted with one or more substituents where indicated; “alkyl group” refers to a straight or branched chain saturated hydrocarbon having the specified number of carbon atoms and having a valence of one; “alkylene group” refers to an alkyl group having a valence of two; “hydroxyalkyl group” refers to an alkyl group substituted with at least one hydroxyl group (—OH); “alkoxy group” refers to “alkyl-O”; “carboxylic acid group” refers to a group having the formula “—C(═O)—OH”; “cycloalkyl group” refers to a monovalent group having one or more saturated rings in which all ring members are carbon. Examples of a cycloalkyl group may include a cyclopentyl group, a 1-methylcyclopentyl, a 2-ethylcyclopentyl, a cyclohexyl group, a 1-ethylcyclohexyl group, a 2-methylcyclohexyl group, a 1-adamantyl group, a 2-adamantyl group, or a 2-methyl-2-adamantyl group.


The term “cycloalkylene group” refers to a cycloalkyl group having a valence of two; “alkenyl group” refers to a straight or branched chain, monovalent hydrocarbon group having at least one carbon-carbon double bond; “alkenoxy group” refers to “alkenyl-O—”; “alkenylene group” refers to an alkenyl group having a valence of at least two; “cycloalkenyl group” refers to a cycloalkyl group having at least one carbon-carbon double bond; “alkynyl group” refers to a monovalent hydrocarbon group having at least one carbon-carbon triple bond.


The term “aromatic group” denotes the conventional idea of aromaticity as defined in the literature, in particular in IUPAC 19, and refers to a monocyclic or polycyclic aromatic ring system that includes carbon atoms in the ring or rings, and optionally may include one or more heteroatoms independently selected from N, O, and S instead of a carbon atom or carbon atoms in the ring or rings; “aryl group” refers to a monovalent, monocyclic or polycyclic aromatic group containing only carbon atoms in the aromatic ring or rings, and may include a group with an aromatic ring fused to at least one cycloalkyl or heterocycloalkyl ring. The monocyclic or polycyclic aromatic ring group can include two or more monocyclic or polycyclic aromatic rings linked by a single bond.


The term “arylene group” refers to an aryl group having a valence of at least two; “alkylaryl group” refers to an aryl group that has been substituted with an alkyl group; “arylalkyl group” refers to an alkyl group that has been substituted with an aryl group; “aryloxy group” refers to “aryl-O—”; and “arylthio group” refers to “aryl-S—”.


The prefix “hetero” means that the compound or group includes at least one member that is a heteroatom (e.g., 1, 2, 3, or 4 or more heteroatom(s)) instead of a carbon atom, wherein the heteroatom(s) is each independently selected from N, O, S, Si, or P; “heteroatom-containing group” refers to a substituent group that includes at least one heteroatom; “heteroalkyl group” refers to an alkyl group having 1-4 heteroatoms instead of carbon atoms; “heterocycloalkyl group” refers to a cycloalkyl group with one or more N, O or S atoms instead of carbon atoms; “heterocycloalkylene group” refers to a heterocycloalkyl group having a valence of at least two; “heteroaryl group” refers to an aryl group having 1 to 3 separate or fused rings with one or more N, O or S atoms as ring members instead of carbon atoms; and “heteroarylene group” refers to a heteroaryl group having a valence of at least two.


The term “halogen” means a monovalent substituent that is fluorine (fluoro), chlorine (chloro), bromine (bromo), or iodine (iodo). The prefix “halo” means a group including one or more of a fluoro, chloro, bromo, or iodo substituent instead of a hydrogen atom. A combination of halo groups (e.g., bromo and fluoro), or only fluoro groups may be present.


“Substituted” means that at least one hydrogen atom on the group is replaced with another group, provided that the designated atom's normal valence is not exceeded. When the substituent is oxo (i.e., ═O), then two hydrogens on the carbon atom are replaced. Combinations of substituents or variables are permissible. Exemplary groups that may be present on a “substituted” position include, but are not limited to, nitro (—NO2), cyano (—CN), hydroxyl (—OH), oxo (═O), amino (—NH2), mono- or di-(C1-6)alkylamino, alkanoyl (such as a C2-6 alkanoyl group such as acyl), formyl (—C(═O)H), carboxylic acid or an alkali metal or ammonium salt thereof, C2-6 alkyl ester (—C(═O)O-alkyl or —OC(═O)-alkyl), C7-13 aryl ester (—C(═O)O-aryl or —OC(═O)-aryl), amido (—C(═O)NR2 wherein R is hydrogen or C1-6 alkyl), carboxamido (—CH2C(═O)NR2 wherein R is hydrogen or C1-6 alkyl), halogen, thiol (—SH), C1-6 alkylthio (—S-alkyl), thiocyano (—SCN), sulfonate (—SO3), C1-6 alkyl, C2-10 alkenyl, C2-10 alkynyl, C1-6 haloalkyl, C1-9 alkoxy, C1-6 haloalkoxy, C3-12 cycloalkyl, C5-18 cycloalkenyl, C6-12 aryl having at least one aromatic ring (e.g., phenyl, biphenyl, naphthyl, or the like, each ring either substituted or unsubstituted aromatic), C7-19 arylalkyl having 1 to 3 separate or fused rings and from 6 to 18 ring carbon atoms, arylalkoxy having 1 to 3 separate or fused rings and from 6 to 18 ring carbon atoms, C7-12 alkylaryl, C4-12 heterocycloalkyl, C3-12 heteroaryl, C1-6 alkyl sulfonyl (—S(═O)2-alkyl), C6-12 arylsulfonyl (—S(═O)2-aryl), or tosyl (CH3C6H4SO2—). Moreover, the substitution of two adjacent carbons of an aromatic ring can join to form a fused ring, where the fused ring can be an aromatic ring, a cycloalkyl ring, or a heterocycloalkyl ring. When a group is substituted, the indicated number of carbon atoms is the total number of carbon atoms in the group, excluding those of any substituents. For example, the group —CH2CH2CN is a C2 alkyl group substituted with a cyano group.


A Spin-on Carbon (SOC) composition, or a polymer of the SOC composition, should satisfy one or more of the following properties or characteristics; capable of being cast onto a substrate by a spin-coating process, thermal-set upon heating with low out-gassing and sublimation, soluble in common solvents for good spin bowl compatibility, possess appropriate optical properties to work in conjunction with the anti-reflective coating layer to impart low reflectivity necessary for photoresist imaging, or have high thermal stability so as to not be damaged during later processing steps. Furthermore, the resulting cured photoresist underlayer should have sufficient dry etch selectivity to material layers located above and below the photoresist underlayer in order to accurately transfer the pattern into the substrate.


We describe a SOC composition that includes a polymer and a solvent, and the formation of a metallized photoresist underlayer with a carbon content of greater than 47 at % on a substrate. We also describe a metal infiltration process whereby a metal precursor is infused into the photoresist underlayer. The infused metal precursor is then oxidized to form a metalized site within the photoresist underlayer, e.g., a metal oxo site, to generate a metallized photoresist underlayer. Accordingly, in addition to one or more of the above SOC properties/characteristics above, the photoresist underlayer should exhibit sufficient metal precursor diffusion into the underlayer in order to achieve a greater degree of etch resistance or selectivity, and in some instances, a relatively shallow concentration gradient of the metal precursor from a surface of the metallized photoresist underlayer.


During our development of advanced photoresist underlayer materials we observed that photoresist underlayers with a carbon content of greater than 47 at % can be effectively metallized to provide metallized photoresist underlayers with outstanding etch resistance, e.g., etch resistance, for example, to oxygen plasma RIE, fluorinated plasma RIE, or sputtering by ion-beam etching. The enhancement of etch resistance of the metallized photoresist underlayer to fluorinated plasma RIE is of particular interest. In contrast, a photoresist underlayer comprising a polymer with a similar structure, and which has been metallized under identical metal precursor/oxidation conditions, but with the photoresist underlayer having a carbon content of less than 47 at % does not provide as satisfactory etch resistance or selectivity, e.g., fluorinated plasma RIE etch resistance, and therefore, will likely not meet the etch resistance or selectivity requirements of an applied SOC composition in the described process.


We describe a method of forming a pattern on a substrate, the method comprising:


forming a photoresist underlayer over a surface of the substrate, wherein the photoresist underlayer is formed from a composition comprising a polymer and a solvent, and the photoresist underlayer has a carbon content of greater than 47 at %;


subjecting the photoresist underlayer to a metal precursor, wherein the metal precursor infiltrates a free volume of the photoresist underlayer; and


exposing the metal precursor-treated photoresist underlayer to an oxidizing agent to provide a metallized photoresist underlayer.


In an embodiment of the above method, prior to the subjecting of the photoresist underlayer to the metal precursor, the method further includes:


forming an antireflective coating layer over the photoresist underlayer and a photoresist layer over the antireflective coating layer;


exposing the photoresist layer to activating radiation and developing the exposed photoresist layer to form a photoresist pattern; and


transferring the photoresist pattern by etching to the antireflective coating layer and the photoresist underlayer.


In an embodiment, the photoresist underlayer has a carbon content of greater than 49 at %, greater than 51 at %, or greater than 53 at %.


In an embodiment, the photoresist underlayer may also have a carbon content of less than 65 at %, less than 62 at %, or less than 60 at %.


In an embodiment, the photoresist underlayer has a carbon content in a range of greater than 47 at % and less than 65 at %, greater than 47 at % and less than 60 at %, greater than 51 at % and less than 60 at %, or greater than 53 at % and less than 60 at %.


In an embodiment, the photoresist underlayer has an oxygen content of less than 10 at %, less than 9 at %, or less than 8 at %. The photoresist underlayer may also have an oxygen content of greater than 1 at % or greater than 4 at %.


In an embodiment, the photoresist underlayer has an oxygen content in a range of greater than 1 at % and less than 10 at %, greater than 1.5 at % and less than 8 at %, or greater than 4 at % and less than 10 at %


The photoresist underlayer may include a polymer chosen from a polyarylene, a polyimide, a poly(arylether), a poly(aryletherketone), a poly(benzoxazole), a polysulfone, a poly(meth)acrylate, a polyvinyl aromatic, a polyvinyl ether, or a combination thereof. The photoresist underlayer can be a homopolymer, a copolymer, or a mixture of two or more polymers including a homopolymer and a copolymer. For example, the SOC composition can include a mixture of two or more homopolymers, two or more copolymers, or one or more homopolymers and one or more copolymers. If the polymer of the SOC composition is a mixture of two or more polymers than the resulting photoresist underlayer must have a carbon content of greater than 47 at %. Accordingly, even as a mixture of two or more polymers, the photoresist underlayer will necessarily have a carbon content of greater than 47 at % or in an atomic percent range of carbon listed above.


The polymer may include a functional group on a side chain chosen from a keto-carbonyl, an ester, a hydroxy, acetal, ketal, carboxylic acid, amide, carbamate, urea, carbonate, aldehyde, imide, sulfonic acid, sulfonate ester, or a combination thereof.


The functional group on a polymer side chain is likely to play a role in the degree of metallization of the photoresist underlayer resulting from a bonding or non-bonding interaction with the metal precursor as the precursor diffuses from a surface through the photoresist underlayer. In other words, the functional group on a polymer side chain may facilitate the anchoring or positioning of the metal precursor in the photoresist underlayer, and therefore, the functional group may have some role in the concentration (or concentration gradient) of metallization (metal sites) within the photoresist underlayer following the oxidation of the metal precursor.


The subjecting of the photoresist underlayer to a metal precursor can include subjecting the photoresist underlayer to a carrier gas that includes the metal precursor as a gas, or by subjecting the photoresist underlayer to a solution that includes the metal precursor. Accordingly, whether in a carrier gas, as a vapor, or as a solution, the metal precursor infiltrates a free volume of the photoresist underlayer.


The exposing of the metal precursor-treated photoresist underlayer to an oxidizing agent results in the presence of metal sites in the photoresist underlayer. For example, the exposure of the metal precursor-treated photoresist may result in the formation of metal oxo sites or metal amide sites in the metallized photoresist underlayer. In an embodiment, the metal oxo or amide sites may include a direct bond or a coordinate bond to an oxygen or nitrogen atom of the polymer functional group. The exact chemical or structural bonding of the metallization of the photoresist underlayer is not important to the overall described process of metallization and carbon content, i.e., carbon at % of the photoresist underlayer. In some instances, the degree or the structural characterization of the metal sites resulting from metallization may be followed spectroscopically, e.g., by using IR spectroscopy, using methods known in the art.


In an embodiment, the polymer comprises polymerized units including a monomer unit of Formula (1)




embedded image


wherein Ar1 and Ar2 independently comprise two to twelve optionally substituted aromatic rings that are connected by a linking group or a single bond, two to twelve optionally substituted aromatic rings that form a fused ring system, or a combination of two to twelve optionally substituted aromatic rings that are linked and fused aromatic rings, wherein the linking group is independently —O—, —C(O)—, —N(RN)—, —SO2—, —(CRaRb)m—, —O[CRa(Rb)O]m—, —O[CRa(Rb)CRa(Rb)O]m—, optionally substituted C2-4 alkenyl, optionally substituted C2-4 alkynyl, —(SiRaRb)m—, —O[SiRa(Rb)O]m—, —SiO2—, or —P(O)Ra—;


L1 is —O—, —C(O)—, —N(RN)—, —SO2—, —(CRaRb)m—, —O[CRa(Rb)O]m—, —O[CRa(Rb)CRa(Rb)O]m—, —SiO2—, or —P(O)Ra, wherein each Ra, Rb, and RN are independently hydrogen, optionally substituted C1-4 alkyl, optionally substituted C6-10 arylene, or optionally substituted C3-10 heteroarylene, wherein m is an integer of 1 to 4.


In an embodiment, Ar1 and Ar2 independently comprise two to eight, or two to four, optionally substituted aromatic rings that are connected by a linking group or a single bond, wherein the linking group is independently —O—, —C(O)—, —N(RN)—, or —(CRaRb)m, and Ra, Rb, and RN are independently hydrogen, methyl, trifluoromethyl, optionally substituted C6-10 aryl, or optionally substituted C3-10 heteroaryl.


In an embodiment, Ar1 and Ar2 independently can be chosen from phenyl, biphenyl, terphenyl, naphthyl, fluorene, spiro-fluorene, or carbazolyl, each of which is optionally substituted at one to four ring carbons at a 6-member ring of Ar1 or Ar2 with an optionally substituted C6-10 aryl or an optionally substituted C3-10 heteroaryl, or two adjacent ring carbons of Ar1 or Ar2 can join to form a ring. For example, Ar1 can be terphenyl and Ar2 can be biphenyl, where Ar1 is substituted with one to three optionally substituted phenyl groups and Ar2 is substituted with one to three optionally substituted phenyl groups.


In an embodiment, Ar1 and Ar2 independently comprise two to eight, or two to four, aromatic rings that are connected by a linking group or a single bond, wherein the linking group is independently —O—, —C(O)—, —N(RN)—, or —(CRaRb)m, and Ra, Rb, and RN are independently hydrogen, methyl, trifluoromethyl, optionally substituted C6-10 aryl, or optionally substituted C3-10 heteroaryl. In addition, at least one of Ar1 or Ar2 has a five or six-member ring in which adjacent ring carbons join to form a ring. The ring can from an aromatic ring, a cycloalkyl ring, or a heterocycloalkyl ring. For example, the heterocycloalkyl ring can provide a benzodiimide that is optionally substituted at the imide nitrogen. Accordingly, Formula (1) compounds can include one or more benzodiimide structures to provide a polyimide structure in the backbone of the polymer.


In one embodiment, at least one of Ar1 or Ar2 includes a functional group selected from a keto-carbonyl, ether, ester, hydroxy, carboxylic acid, amide, imide, sulfonic acid, sulfonate ester, or a combination thereof. For example, the functional group can be a keto-carbonyl (e.g., phenyl ketone), a hydroxy, carboxylic acid (e.g., —C(O)OH, or the methyl ester or phenyl ester thereof, positioned at a ring carbon of at least one of Ar1 or Ar2, or off a carbon, e.g., a ring carbon, of a substituent of at least one of Ar1 or Ar2.


In an embodiment, only one of Ar1 or Ar2, or a respective substituent of Ar1 or Ar2 includes a functional group selected from a keto-carbonyl, ether, ester, hydroxy, carboxylic acid, amide, imide, sulfonic acid, sulfonate ester, or a combination thereof. For example, the functional group can be a keto-carbonyl, e.g., phenyl ketone, a hydroxy, carboxylic acid, e.g., —C(O)OH or the methyl ester or phenyl ester thereof, positioned at a ring carbon of at least one of Ar1 or Ar2, or off a carbon, e.g., a ring carbon, of a substituent of at least one of Ar1 or Ar2.


In an embodiment the polymer includes polymerized units including a monomer unit of Formula (2)




embedded image


wherein each of A1 to A10 is independently hydrogen, optionally substituted C1-10 alkyl, optionally substituted C2-10 alkenyl, optionally substituted C2-10 alkynyl, optionally substituted C6-40 aryl group, or C3-40 heteroaryl group; wherein at least one of A1 to A5 or at least one of A6 to A10 connects to an adjacent monomeric unit as indicated by a line in each bracket; and optionally, two adjacent A1 to A5, or two adjacent A6 to A10, join to form a fused ring; and


L2 is —O—, —C(O)—, —N(RN)—, —SO2—, —(CRaRb)m—, —O[CRa(Rb)O]m—, —O[CRa(Rb)CRa(Rb)O]m—, —SiO2—, or —P(O)Ra, wherein each Ra, Rb, and RN are independently hydrogen, optionally substituted C1-4 alkyl, optionally substituted C6-10 aryl, or optionally substituted C3-10 heteroaryl, and m is an integer of 1 to 4.


In an embodiment, at least one of A1 to A5, and at least one of A6 to A10, is an optionally substituted phenyl, optionally substituted biphenyl, optionally substituted terphenyl, optionally substituted naphthyl, optionally substituted anthracenyl, optionally substituted pyridyl, optionally substituted fluorene, optionally substituted spiro-fluorene, or optionally substituted carbazolyl.


In an embodiment, at least one of A1 to A5, or at least one of A6 to A10, includes a functional group selected from a keto-carbonyl, ether, ester, hydroxy, carboxylic acid, amide, imide, sulfonic acid, sulfonate ester, or a combination thereof. For example, the functional group can be a keto-carbonyl, e.g., phenyl ketone, a hydroxy, carboxylic acid, e.g., —C(O)OH or the methyl ester thereof, or the functional group can be included in a substituent of A1 to A5, or A6 to A10.


In an embodiment, just one of A1 to A5, or just one of A6 to A10, includes a functional group selected from a keto-carbonyl, ether, ester, hydroxy, carboxylic acid, amide, imide, sulfonic acid, sulfonate ester, or a combination thereof. For example, the functional group can be a keto-carbonyl, e.g., phenyl ketone, a hydroxy, carboxylic acid, e.g., —C(O)OH, or the methyl ester thereof, or the functional group can be included in a substituent of A1 to A5, or A6 to A10.


In an embodiment, at least one of A1 to A5, or at least one of A6 to A10, can include a linking group to another monomeric unit of the polymer. For example, such a linking group can include —O—, —C(O)—, —N(RN)—, —SO2—, —(CRaRb)m—, optionally substituted C2-4 alkenyl, optionally substituted C2-4 alkynyl, or —(SiRaRb)m—.


In one embodiment, at least one of A1 to A5, or at least one of A6 to A10, is an aromatic ring that can include a linking group to another aromatic ring. As above, the aromatic ring linked to A1 to A5 or A6 to A10 can include an optionally substituted phenyl, optionally substituted biphenyl, optionally substituted terphenyl, optionally substituted naphthyl, optionally substituted anthracenyl, optionally substituted pyridyl, optionally substituted fluorene, optionally substituted spiro-fluorene, or optionally substituted carbazolyl. For example, such a linking group can include —O—, —C(O)—, —N(RN)—, —SO2—, —(CRaRb)m—, —O[CRa(Rb)O]m—, —O[CRa(Rb)CRa(Rb)O]m—, optionally substituted C2-4 alkenyl, optionally substituted C2-4 alkynyl, —(SiRaRb)m—, —O[SiRa(Rb)O]m—, —SiO2—, or —P(O)Ra—. Moreover, any one or more of the aromatic rings linked to A1 to A5, or A6 to A10, can include a functional group. For example, the functional group can be a keto-carbonyl, e.g., phenyl ketone, a hydroxy, carboxylic acid, e.g., —C(O)OH or the methyl ester thereof.


In an embodiment, the polymer comprises polymerized units including a monomer unit of Formula (3).




embedded image


wherein A1, A2, A4, A5, A6, A7, A9, and A10, are as defined above; each A11, each A12, and each A13 are independently an optionally substituted C1-4 alkyl, optionally substituted C2-4 alkenyl, optionally substituted C2-4 alkynyl, optionally substituted C6-40 aryl group, or C3-40 heteroaryl group, or optionally, at least one of A11, A12, and A13 connects to an adjacent monomeric unit as indicated by a line in each bracket, or two adjacent A11, A12 and A13 join to form a fused ring; and


o and q are independently an integer from 0 to 5, and p is an integer from 0 to 4.


In an embodiment, at least one of A1, A2, A4, A5, A6, A7, A9, A10, A11, A12, and A13 includes a functional group selected from a keto-carbonyl, ether, ester, hydroxy, carboxylic acid, amide, imide, sulfonic acid, sulfonate ester, or a combination thereof. For example, the functional group can be a keto-carbonyl, e.g., phenyl ketone, a hydroxy, carboxylic acid, e.g., —C(O)OH or the methyl ester thereof, or the functional group can be included in a substituent of A1, A2, A4, A5, A6, A7, A9, A10, A11, A12, and A13.


The monomer units of Formulae (1), (2), or (3), may include an imide structure. Preferably, the imide structure is present in the backbone of the polymerized monomeric units, and therefore, the resulting polymer of the SOC composition or photoresist underlayer can be recognized by those in the art as a polyimide.


In an embodiment, the polymer includes polymerized units of a monomer unit of Formula (4).




embedded image


wherein in Formula (4),


G is absent, —(CHRa)n—, —(CHRaCHRbO)m—, —O—, —C(O)O—, —C(O)OR5—, —C(O)—, —C(O)N(RN)—, optionally substituted C6-14 arylene, optionally substituted C3-13 heteroarylene, or optionally substituted C5-C12 cycloalkylene; wherein R1, RN, each Ra, and each Rb, is independently hydrogen, optionally substituted C1-3 alkyl, an optionally substituted C6-14 aryl, or an optionally substituted


C3-13 heteroaryl; and n is an integer of 1 to 6, and m is an integer of 1 to 4;


R4 is hydrogen, optionally substituted C1-4 alkyl, optionally substituted C1-8 heteroalkyl with a total of one to three ether, ester, amide, or —C(O)— groups, optionally substituted C2-4 alkenyl, optionally substituted C2-4 alkynyl, an optionally substituted C6-14 aryl, or an optionally substituted C3-12 heteroaryl; and


R5 is an optionally substituted C1-4 alkylene or C2-4 alkenylene.


In an embodiment, R4 is an unsubstituted C6-14 aryl, a C6-14 aryl substituted with —R3, —OR3, —OC(O)R3—, —C(O)OR3, —C(O)N(RN)R3, or —C(O)R3, an unsubstituted C3-12 heteroaryl, a C3-12 heteroaryl substituted with —OR3, —C(O)OR3, —C(O)N(RN)R3, or —C(O)R3, where R3 is hydrogen, CN, optionally substituted C1-6 alkyl, C2-4 alkenyl, C2-4 alkynyl, an optionally substituted C6-14 aryl, or an optionally substituted C3-13 heteroaryl.


In an embodiment, G is absent, —O—, —C(O)O—, or —C(O)OR5—, and R4 is phenyl, naphthyl, or anthracenyl, each of which is optionally substituted with —OR3, —C(O)OR3, —C(O)N(RN)R3, or —C(O)R3, wherein R3, R5, and RN is defined above.


In an embodiment, the SOC composition, and therefore, the photoresist underlayer can include a mixture of two or more polymers, e.g., a mixture of a polymer including monomeric units of Formula (1), Formula (2), or Formula (3) with a polymer including a monomeric unit of Formula (4).


In an embodiment, the SOC composition, and therefore, the photoresist underlayer can include a polyimide or poly(amide-imide), hereafter, collectively referred to as polyimides. The making of polyimides is well known in the art and includes the reaction of at least one tetracarboxylic acid, preferably an aromatic tetracarboxylic acid, a dianhydride, preferably an aromatic dianhydride, at least one diamine, preferably an aromatic diamine, and at least one dicarboxylic acid, preferably an aromatic dicarboxylic acid. For example, the aromatic diamine may include a diamine including two or more amino-phenylene groups linked through —O—, —S—, or —C(═O)—.


In an embodiment, the photoresist underlayer can include a mixture of two or more polymers, e.g., a mixture of a polymer including a monomeric unit of Formula (1), Formula (2), Formula (3) or Formula 4, with a polyimide.


In an embodiment, the polymer comprises a monomeric unit represented by one or more of the following Formulae A to E




embedded image


wherein


L3 and L4 are independently —O—, —C(O)—, —N(RN)—, —SO2—, —(CRaRb)m—, —O[CRa(Rb)O]m—, —O[CRa(Rb)CRa(Rb)O]m—, or —SiO2—, wherein each Ra, Rb, and RN are independently hydrogen, optionally substituted C1-4 alkyl, optionally substituted C6-10 aryl, or optionally substituted C3-10 heteroaryl, wherein m is an integer of 1 to 4;


L5 is a single bond or an optionally substituted C1-4 alkylene;


D is absent, —O—, —C(O)O—, —C(O)N(RN)—, C6-14 arylene, or C3-13 heteroarylene, wherein R1 and RN are independently hydrogen, optionally substituted C1-3 alkyl, an optionally substituted C6-14 aryl, or an optionally substituted C3-13 heteroaryl


each R6 is independently hydrogen, —OH, —CN, halogen, ORC, —C(O)—RC, —C(O)ORC, —C(O)NRC, optionally substituted C1-4 alkyl, optionally substituted C2-10 alkenyl, optionally substituted C2-10 alkynyl, optionally substituted C6-14 aryl, or optionally substituted C5-14 heteroaryl, where RC is independently hydrogen, optionally substituted C1-4 alkyl, optionally substituted C2-4 alkenyl, optionally substituted C2-4 alkynyl, optionally substituted C6-10 aryl, or optionally substituted C3-10 heteroaryl, wherein s and t are independently integers 1, 2, or 3; and at least one R6 is —C(O)—RC—C(O)ORC, or —C(O)NRC, wherein RC is hydrogen, an optionally substituted C1-4 alkyl, or optionally substituted C6-10 aryl; R8 is independently hydrogen, or optionally substituted C1-4 alkyl;


R9 and R10 are independently hydrogen, —OH, —CN, halogen, ORC, —C(O)—RC, —C(O)ORC, —C(O)NRC, optionally substituted C1-4 alkyl, optionally substituted C6-10 aryl, or optionally substituted C5-10 heteroaryl, where RC is independently hydrogen, optionally substituted C1-4 alkyl, optionally optionally substituted C6-10 aryl, or optionally substituted C3-10 heteroaryl; and


A15 is a single bond, an optionally substituted C1-14 alkylene, an optionally substituted C1-14 cycloalkylene, an optionally substituted C6-C20 arylene, or an optionally substituted C3-C20 heteroarylene, each of which optionally includes a fused ring;


A16 is an optionally substituted C6-C20 aryl group, or an optionally substituted C3-C20 heteroaryl group, each of which optionally includes a fused ring.


In an embodiment, the polymer is a homopolymer or copolymer that includes polymerized units of one or more monomer units of Formula (1), one or more monomer units of Formula (2), one or more monomer units of Formula (3), or one or more monomer units of Formula (4).


In an embodiment, the polymer is a copolymer that includes polymerized units of at least two different monomer units of Formula (1), at least two different monomer units of Formula (2), at least two different monomer units of Formula (3), or at least different monomer units of Formula (4). Of course, it is to be understood, that the copolymer may also include a combination of polymerized units of one or more monomer units of Formula (1), one or more monomer units of Formula (2), one or more monomer units of Formula (3), or one or more different monomer units of Formula (4). Moreover, each of the one or more monomer units of Formulae (1) to (4) can be the same or different.


We identify four physicochemical features of the metal precursor or the polymer matrix that may have role in the sorption, diffusion, and entrapment kinetics of the metal precursor in the polymer film: (1) size and shape of the metal precursor, (2) free volume of the polymer, (3) tortuosity of the free volume, and (4) reactivity or coordination between the precursor and polymer functional groups. See, Losego, M. D. et al., Material Horizons 2017, 4, 747-71.


The process of metallization of applied polymer materials is known, and the process, at times, is referred to in the art, for example, as “Multiple Pulsed Infiltration” (MPI), “Sequential Infiltration Synthesis” and “Sequential Vapor Infiltration”, however, each of these processes are merely differentiated by metal precursor dosing sequence. Each process entails diffusing metal precursor molecule into an applied polymer and then entrapping the precursor in the polymer film.


In an embodiment, if the metal precursor is present in a carrier gas or as a vapor, delivery pulse times, hold times, and cycle repetitions may vary, each of these processes ultimately yield similar, or substantially the same metallized polymer film. Accordingly, we use the term “Vapor Phase Infiltration (VPI)” to include each of the metal infiltration processes previously described in the art. The three steps in VPI processing described herein will typically include three modes of action: (1) sorption (or dissolution) of a gaseous metal (usually metalorganic) precursor into the applied SOC polymer; (2) transport (diffusion) of that gaseous metal precursor into the polymer matrix; and (3) entrapment (e.g., through a reaction or coordination) of the metal precursor within the bulk polymer, e.g., through a chemical or physical interaction with a functional group of the polymer. Vapor phase infiltration transforms the surface, subsurface, or bulk of the photoresist underlayer into a new organic-inorganic hybrid material with markedly different properties than the non-metallized underlayer.


Likewise, in an embodiment, if the metal precursor is present in a solution, delivery pulse times, hold times, and cycle repetitions may vary, and we use the term “Liquid Phase Infiltration (LPI)”. The three steps in LPI processing will typically include three modes of action: (1) sorption of a solution that includes the metal (usually metalorganic) precursor into the applied SOC polymer; (2) transport (diffusion) of that solution metal precursor into the polymer matrix; and (3) entrapment (e.g., through a reaction or coordination) of the metal precursor within the bulk polymer, e.g., through a chemical or physical interaction with a functional group of the polymer. Liquid phase infiltration transforms the surface, subsurface, or bulk of the applied SOC film into a new organic-inorganic hybrid material with markedly different properties.


The metallized polymer films are used as an etch mask for generating high aspect-ratio nanostructures via plasma etching. For example, the photoresist underlayer is infiltrated with a metal precursor, e.g., Al(Me)3 (TMA), which is later oxidized in the presence of water (water vapor) to a metal oxide framework. In an embodiment, one can use an alternating exposure of the photoresist underlayer to the metal precursor then water in a deposition chamber. Appropriate exposure times are used for the metal precursor to allow the precursor to diffuse or permeate into the photoresist underlayer. Appropriate exposure time for the water is also used to ensure the oxidation reaction of the metal precursor with the water.


A variety of different gaseous or liquid metal precursors may be used in the metallization process. Exemplary metal precursors may include: trialkylates, trihalides or mixed alkylhalides of Group 13 (IIIA) metals such as boron, aluminum, or gallium, e.g. trimethylaluminum; tetraalkylates, tetrahalides or mixed alkylhalides of Group 4 (IVB) metals such as titanium, zirconium of hafnium, e.g, tetraalkyltitanium or tetrahalidetitanium, e.g., Ti(iso-propoxide)4 or TiCl4; trialkylates, trihalides or mixed alkylhalides of Group 5 (VB) metals such as vanadium, niobium, or tantalum; trihalides or hexahalides or mixed alkylhalides of Group 6 (VIB) metals such as chromium, molybdenum, or tungsten; metal alkyl, metal halide, or mixed metal alkyl/halides of cobalt, nickel, copper, tin, germanium, or zinc can also be used.


In an embodiment, the metal precursor comprises a metal chosen from aluminum, tin, tungsten, titanium, molybdenum, hafnium, or a combination thereof.


The depth of metallization into a patterned photoresist underlayer can in-part be controlled by temperature of the reaction chamber (i.e., photoresist underlayer) during the infiltration step of the metal precursor, the metal precursor, mode of subjecting the photoresist layer to a vapor or liquid, and the polymer of the photoresist underlayer. At times, it may be advantageous to infiltrate the sidewall edge region of the patterned photoresist underlayer, and thus, limit the amount of penetration into the bulk of the patterned underlayer. At other times, it may be advantageous to infiltrate the bulk of the photoresist underlayer. Of course, the degree or amount of exposure time will depend upon the desired aspect ratio of the patterned substrate. For example, for a given exposure time and photoresist underlayer, a relatively lower infiltration temperature may result in penetration at primarily the sidewalls, thereby leaving the bulk of the photoresist underlayer unexposed to the metal precursor (or non-metallized). Accordingly, for a given exposure time and photoresist underlayer, a relatively higher infiltration temperature would likely lead to greater penetration depth and greater uniformity of metallization across the bulk of the patterned photoresist underlayer.


In addition to etch-resistance enhancement, the metallization process described enhances and maintains the quality of the printed pattern from the photoresist underlayer to the substrate. Moreover, we observe little if any significant swelling of the photoresist underlayer following metallization.


A variety of different oxidants may be used in the metallization process to convert infiltrated metal precursors to metal oxides, metal fluorides, or other metal-containing species. Exemplary oxidants may include, but are not limited to water, oxygen, ozone, sulfur hexafluoride, hydrogen fluoride, hydrogen peroxide, and others.


Polymers of the invention may be prepared by procedures known in the art. One suitable procedure is to polymerize one or more monomer units of Formula (1), Formula (2), Formula (3), Formula (4), or any combination of monomer units, in a suitable solvent in the presence of heat alone, or a free radical initiator, or an acid, such as pTSA, with heating. Such polymers may be used as is, or may be further purified. Preferably, the polymers are further purified before use. Suitable polymer purification procedures are well-known to those skilled in the art. In general, the present polymers have a weight average molecular weight in the range of 900 to 100,000 g/mole, or 1,000 to 30,000 g/mole, and preferably from 2,000 to 20,000 g/mole as determined by gel permeation chromatography (GPC) using polystyrene standards. The present polymers may have any suitable polydispersity, such as from 1 to 10, and preferably from 1 to 5.


A suitable composition useful for forming a photoresist underlayer comprises one or more of the polymers described above, organic solvent, and optionally one or more additives chosen from cross-linking agents, curing agents, and surfactants. It will be appreciated by those skilled in the art that other additives may suitably be used in the present compositions. Compositions of the invention may be prepared by combining the polymer, solvent, and any optional additives in any order. In many instances, the amount of the polymer in the SOC composition that is applied to a substrate is greater than 3 wt %, greater than 8 wt %, greater than 12 wt %, greater than 15 wt %, greater than 18 wt %, or greater than 20 wt %, and less than 60 wt %, less than 55 wt %, less than 50 wt %, or less than 40 wt %. For example, the amount of the polymer in the SOC composition that is applied to a substrate is in a range from 3 wt % to 50 wt %, from 8 wt % to 40 wt %, or from 15 wt % to 40 wt %. It will be appreciated by those skilled in the art that the concentration of the polymer in the SOC composition may be varied across a wide range and that the thickness of any film deposited by a spin-on technique depends on the concentration of the polymer in the solvent.


Any solvent or solvent mixture may be used in the SOC composition, provided that a sufficient amount of the polymeric reaction product is soluble in the solvent or solvent mixture. Such solvents include, but are not limited to, aromatic hydrocarbons, alcohols, lactones, esters, ethers, ketones, amides, carbonates, glycols, and glycol ethers. Mixtures of organic solvents may be used. Exemplary organic solvents include, without limitation, toluene, xylene, anisole, mesitylene, 2-methyl-1-butanol, 4-methyl-2-pentanol, methyl isobutyl carbinol, gamma-butyrolactone, ethyl lactate, methyl 2-hydroxyisobutyrate, propylene glycol methyl ether acetate (PGMEA), propylene glycol methyl ether (PGME), methyl 3-methoxypropionate (MMP), n-butyl acetate, N-methyl pyrrolidone, ethoxybenzene, benzyl propionate, benzyl benzoate, cyclohexanone, cyclopentanone, propylene carbonate, cumene, limonene, and mixtures thereof.


Optionally, the SOC compositions may further comprise one or more curing agents to aid in the curing of the deposited polymeric reaction product film. A curing agent is any component which causes curing of the polymer on the surface of a substrate. Preferred curing agents are acids and thermal acid generators. Suitable acids include, but are not limited to: arylsulfonic acids such as p-toluenesulfonic acid; alkyl sulfonic acids such as methanesulfonic acid, ethanesulfonic acid, and propanesulfonic acid; perfluoroalkylsulfonic acids such as trifluoromethanesulfonic acid; and perfluoroarylsulfonic acids. A thermal acid generator is any compound which liberates acid upon exposure to heat. Thermal acid generators are well-known in the art and are generally commercially available, such as from King Industries, Norwalk, Conn. Exemplary thermal acid generators include, without limitation, amine blocked strong acids, such as amine blocked sulfonic acids such as amine blocked dodecylbenzenesulfonic acid. It will also be appreciated by those skilled in the art that certain photoacid generators are able to liberate acid upon heating and may function as thermal acid generators. The amount of such curing agents useful in the present compositions is well-known to those skilled in the art and is typically from 0 to 10 wt % relative to total solids, and preferably from 0 to 3 wt %.


The SOC composition may include one or more of the following additive compounds C1 and T1. The SOC composition may include one or more of Polyfox 656 (F1) or cyclohexanone (S1). See, Examples.




embedded image


Compound C1 above is an example of a crosslinking agent that may be present in the SOC composition. A crosslinking agent will have has at least 2, and preferably at least 3, moieties capable of reacting with the polymer under suitable conditions, such as under acidic conditions. Other exemplary crosslinking agents include, but are not limited to, novolac resins, epoxy-containing compounds, melamine compounds, guanamine compounds, isocyanate-containing compounds, benzocyclobutenes, and the like, and preferably any of the foregoing having 2 or more, preferably 3 or more, and more preferably, substituents selected from methylol, C1-10 alkoxymethyl, and C2-10 acyloxymethyl. The amount of such cross-linking agents useful in the present compositions is well-known to those skilled in the art and is typically from 0 to 20 wt % relative to total solids, and preferably from 5 to 15 wt %.


The SOC compositions may optionally include one or more surface leveling agents (or surfactants). While any suitable surfactant may be used, such surfactants are typically non-ionic. Exemplary non-ionic surfactants are those containing an alkyleneoxy linkage, such as ethyleneoxy, propyleneoxy, or a combination of ethyleneoxy and propyleneoxy linkages. Further examples of surfactants include silicone surfactants or fluorochemical surfactants. Suitable non-ionic surfactants include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-100, X-45, X-15 and branched secondary alcohol ethoxylates such as TERGITOL™ TMN-6 (The Dow Chemical Company, Midland, Mich. USA) and PF-656 (Omnova Solutions, Beachwood, Ohio, USA). Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamine, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants disclosed in McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J. The amount of such surfactants useful in the present compositions is well-known to those skilled in the art, and typically is in the range of 0 to 5 wt % relative to total solids.


In another embodiment, we describe a method of forming a pattern on a substrate. The method includes in the following order:


forming a photoresist underlayer over a surface of the substrate, wherein the photoresist underlayer is formed from a composition comprising a polymer and a solvent, and the photoresist underlayer has a carbon content of greater than 47 at %;


subjecting the photoresist underlayer to a metal precursor, wherein the metal precursor infiltrates a free volume of the photoresist underlayer;


exposing the metal precursor-treated photoresist underlayer to an oxidizing agent to provide a metallized photoresist underlayer;


forming an antireflective coating layer over the metallized photoresist underlayer and a photoresist layer over the antireflective coating layer;


exposing the photoresist layer to activating radiation and developing the exposed photoresist layer to form a photoresist pattern; and


transferring the photoresist pattern by etching to the antireflective coating layer and the photoresist underlayer.


In the above embodiment, the photoresist underlayer is subjected to the metal precursor, and then exposed to the oxidizing agent, to provide a metallized photoresist underlayer. The antireflective coating layer is then formed over the metallized photoresist underlayer, followed by the forming of the photoresist layer over the antireflective coating layer. The photoresist layer is then patterned using methods known in the art, and that pattern is transferred to the antireflective coating layer and the photoresist underlayer with one or more etching processes. Accordingly, the SOC composition is used in a multilayer resist process that includes forming an antireflective coating layer, e.g., a silicon-based oxide film, on a surface of the photoresist underlayer film, and subjecting the antireflective coating layer to wet or dry etching.


The SOC compositions are disposed on an electronic device substrate by spin-coating. In a typical spin-coating method, the present compositions are applied to a substrate which is spinning at a rate of 500 to 4000 rpm for a period of 15-90 seconds to obtain a desired layer of the SOC composition, and therefore, a polymer described herein on the substrate. It will be appreciated by those skilled in the art that the height of the polymeric layer (polymeric photoresist underlayer) may be adjusted by changing the spin speed as well as the polymer solid content of the SOC composition.


A wide variety of substrates may be used in the patterning methods, with electronic device substrates being typical. Suitable substrates include, for example, packaging substrates such as multichip modules; flat panel display substrates; integrated circuit substrates; substrates for light emitting diodes (LEDs) including organic light emitting diodes (OLEDs); semiconductor wafers; polycrystalline silicon substrates; and the like. Suitable substrates may be in the form of wafers such as those used in the manufacture of integrated circuits, optical sensors, flat panel displays, integrated optical circuits, and LEDs. As used herein, the term “semiconductor wafer” is intended to encompass “an electronic device substrate,” “a semiconductor substrate,” “a semiconductor device,” and various packages for various levels of interconnection, including a single-chip wafer, multiple-chip wafer, packages for various levels, or other assemblies requiring solder connections. Such substrates may be any suitable size. Typical wafer substrate diameters are 200 mm to 300 mm, although wafers having smaller and larger diameters may be suitably employed according to the present invention. As used herein, the term “semiconductor substrate” includes any substrate having one or more semiconductor layers or structures which may optionally include active or operable portions of semiconductor devices. A semiconductor device refers to a semiconductor substrate upon which at least one microelectronic device has been or is being batch fabricated.


The substrates are typically composed of one or more of silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon germanium, gallium arsenide, aluminum, sapphire, tungsten, titanium, titanium-tungsten, nickel, copper, and gold. Examples of the substrate include a wafer coated with an insulating film (e.g., silicon oxide, silicon nitride, silicon oxynitride, or polysiloxane) or a low-dielectric-constant insulating film (e.g., Black Diamond (manufactured by AMAT), SiLK (manufactured by Dow Chemical), or LKD5109 (manufactured by JSR Corporation)). A patterned substrate having a trench, a via, and the like may also be used.


The substrate may include one or more layers and patterned features. The layers may include, for example, one or more conductive layers such as layers of aluminum, copper, molybdenum, tantalum, titanium, tungsten, alloys, nitrides or silicides of such metals, doped amorphous silicon or doped polysilicon, one or more dielectric layers such as layers of silicon oxide, silicon nitride, silicon oxynitride, or metal oxides, semiconductor layers, such as single-crystal silicon, and combinations thereof. The layers can be formed by various techniques, for example, chemical vapor deposition (CVD) such as plasma-enhanced CVD (PECVD), low-pressure CVD (LPCVD) or epitaxial growth, physical vapor deposition (PVD) such as sputtering or evaporation, or electroplating.


The applied photoresist underlayer composition is optionally soft-baked at a relatively low temperature to remove any solvent and other relatively volatile components from the composition. Exemplary baking temperatures may be from 60° C. to 170° C., although other suitable temperatures may be used. Such baking to remove residual solvent may be 10 seconds to 10 minutes, although longer or shorter times may suitably be used. When the substrate is a wafer, such baking step may be performed by heating the wafer on a hot plate.


A photoresist underlayer formed from the SOC composition typically has a dried layer thickness of from 10 nm to 50 μm, typically from 25 nm to 30 μm, and more typically from 50 to 5000 nm. The photoresist underlayer composition may be applied so as to substantially fill, preferably fill, and more preferably fully fill, a plurality of gaps on the substrate.


The applied photoresist underlayer composition is then cured to form a photoresist underlayer. The photoresist underlayer composition should be sufficiently cured such that the photoresist underlayer does not intermix, or minimally intermixes, with a subsequently applied layer, such as a photoresist or other organic or inorganic layer disposed directly on the photoresist underlayer. The photoresist underlayer composition may be cured in an oxygen-containing atmosphere, such as air, or in an inert atmosphere, such as nitrogen and under conditions, such as heating, sufficient to provide a cured coating layer. This curing step is preferably conducted on a hot plate-style apparatus, although oven curing may be used to obtain equivalent results. The curing temperature should be sufficient to effect curing throughout the layer, for example, sufficient to allow a curing agent such as a free acid to effect crosslinking, or to allow a thermal acid generator to liberate acid and the liberated acid to effect crosslinking where the curing agent is a thermal acid generator (TAG). Typically, the curing is conducted at a temperature of 150° C. or greater, and preferably 150° C. to 450° C. It is more preferred that the curing temperature is 180° C. or greater, still more preferably 200° C. or greater, and even more preferably from 200° C. to 400° C. The curing time is typically from 10 seconds to 10 minutes, preferably from 30 seconds to 5 minutes, more preferably from 45 seconds to 5 minutes, and still more preferably from 45 to 90 seconds. Optionally, a ramped or a multi-stage curing process may be used.


A ramped bake typically begins at a relatively low (e.g., ambient) temperature that is increased at a constant or varied ramp rate to a higher target temperature. A multi-stage curing process involves curing at two or more temperature plateaus, typically a first stage at a lower bake temperature and one or more additional stages at a higher temperature. For example, a ramped bake beginning at relatively low temperatures and then gradually increasing to the range of 200° C. to 325° C. can give acceptable results. It can be preferable in some cases to have a two-stage curing process, with the first stage being a lower bake temperature of less than 200° C., and the second stage being a higher bake temperature preferably between 200° C. and 400° C. Two stage curing processes facilitate uniform filling and planarization of pre-existing substrate surface topography, for example filling of trenches and vias. Conditions for such ramped or multi-stage curing processes are known to those skilled in the art, and may allow for omission of a preceding softbake process.


After curing of the photoresist underlayer composition, one or more intervening layers, such as a hardmask layer such as a metal hardmask layer, an organic or inorganic bottom antireflective coating (BARC) layer and the like, may be disposed over the cured photoresist underlayer. A photoresist layer may then be formed above the photoresist underlayer on one or more of the intervening layers. In this case, one or more intervening processing layers such as described above can be sequentially formed over the photoresist underlayer followed by formation of the photoresist layer. Determination of suitable layers, thicknesses and coating methods are well known to those skilled in the art.


A wide variety of photoresists may be suitably used in the methods of the invention and are typically positive-tone materials. Suitable photoresists include, for example, materials within the EPIC™ series of photoresists available from DuPont Electronics & Imaging (Marlborough, Mass.). Suitable photoresists may be either positive tone development or negative tone development resists.


An exemplary BARC layer includes a silicon-BARC, which may be spin coated on the underlayer followed by curing, or an inorganic silicon layer such as SiON or SiO2 which may be deposited on the underlayer by chemical vapor deposition (CVD). Any suitable hardmask may be used and may be deposited on the underlayer by any suitable technique, and cured as appropriate. Optionally, an organic BARC layer may be disposed directly on the silicon-containing layer or hardmask layer, and appropriately cured. Next, a photoresist, such as those used in 193 nm lithography, is disposed directly on the silicon-containing layer (in a trilayer process) or directly on the organic BARC layer (in a quadlayer process). The photoresist layer is then imaged (exposed) using patterned actinic radiation, and the exposed photoresist layer is then developed using the appropriate developer to provide a patterned photoresist layer.


The pattern is next transferred from the photoresist layer to the layer directly below it, by appropriate etching techniques known in the art, such as by plasma etching, resulting in a patterned silicon-containing layer in a trilayer process or a patterned organic BARC layer in a quadlayer process. If a quadlayer process is used, the pattern is next transferred from the organic BARC layer to the silicon-containing layer or hardmask layer using appropriate pattern transfer techniques, such as plasma etching.


In an embodiment, the antireflective layer, e.g., a silicon-based oxide film, and the photoresist underlayer film are sequentially subjected to dry etching using the resist pattern as a mask. The silicon-based oxide film and the resist underlayer film may be subjected to dry etching using a known dry etching system. A source gas used for dry etching may include an oxygen-containing gas (e.g., O2, CO, or CO2), an inert gas (e.g., He, N2, or Ar), a chlorine-based gas (e.g., Cl2 or BCl4), a fluorine-based gas (e.g., CHF3 or CF4), H2, NH3, or the like may be used depending on the elemental composition of the etching target. Moreover, any two or more of these etch gases may be used in combination.


In another embodiment, the silicon-based oxide film can be subjected to wet etching, e.g., using a hydrogen fluoride aqueous solution, a hydrofluoric acid-based buffer solution, or the like. Examples of the hydrofluoric acid-based buffer solution include a mixed solution of a hydrogen fluoride aqueous solution and ammonium fluoride (weak alkali).


After the silicon-containing layer or hardmask layer is patterned, the cured photoresist underlayer is then patterned using appropriate etching techniques, such as O2 or CF4 plasma. Any remaining patterned photoresist and organic BARC layers are removed during etching of the cured underlayer.


In an embodiment, the patterned photoresist underlayer is then subjected to the metal precursor, either as a gas (metal precursor vapor) with or without a carrier gas, or as a solution including the metal precursor, as described herein. In this manner, the metal precursor infiltrates a free volume of the photoresist underlayer. The step of subjecting can also include a purge step in which metal precursor that is not in some manner affixed to the patterned photoresist underlayer can be removed from the photoresist underlayer. In the case of the described VPI process, a purge cycle may include subjecting the photoresist underlayer under a partial vacuum or with an inert gas flow, or both. In the case of the described LPI process, a purge cycle may include subjecting the photoresist underlayer under a partial vacuum with optional heating to remove most if not all of the solvent present in the metal solution impregnated photoresist underlayer. The step of subjecting the photoresist underlayer to the gas or liquid metal precursor followed by an optional purge step can be repeated one or more times.


Following the impregnation of the metal precursor into the patterned photoresist underlayer, the metal precursor-treated photoresist underlayer is exposed to an oxidizing agent as described to provide a metallized photoresist underlayer. The step of exposing the photoresist underlayer to the oxidizing agent can be repeated one or more times.


It is to be understood that the step of subjecting the photoresist underlayer to the metal precursor as either a metal gas (vapor) or a metal solution followed by an optional purge, and then exposing the photoresist underlayer with the infiltrated metal precursor with the oxidizing agent followed by an optional purge can be repeated one or more times as a subjecting/exposing cycle to provide the metallized photoresist underlayer.


The pattern is then transferred to the substrate, such as by appropriate etching techniques, which also removes any remaining silicon-containing layer or hardmask layer, followed by removal of any remaining patterned cured underlayer to provide a patterned substrate.


Optionally, one or more barrier layers may be disposed on the photoresist layer. Suitable barrier layers include a topcoat layer, a top antireflectant coating layer (or TARC layer), and the like. Preferably, a topcoat layer is used when the photoresist is patterned using immersion lithography. Such topcoats are well-known in the art and are generally commercially available, such as OC™ 2000 available from DuPont Electronics & Imaging. It will be appreciated by those skilled in the art that a TARC layer is not needed when an organic antireflectant layer is used under the photoresist layer.


Photoresist underlayers formed from the SOC compositions show excellent planarization, good solvent resistance, and tunable etch selectivity. Preferred photoresist underlayer compositions of the invention may, as a result, be useful in a variety of semiconductor manufacturing processes.


The present inventive concept is further illustrated by the following examples. All compounds and reagents used herein are available commercially except where a procedure is provided below.


EXAMPLES

Gel Permeation Chromotogtaphy (GPC). Number and weight-average molecular weights, Mn and Mw, and polydispersity (PDI) values (Mw/Mn) for the polymers are measured by GPC on an Agilent 1100 series LC system equipped with an Agilent 1100 series refractive index and MiniDAWN light scattering detector (Wyatt Technology Co.). Samples are dissolved in HPLC grade THF at a concentration of approximately 10 mg/mL and filtered through at 0.45 μm syringe filter, then injected through four Shodex columns (KF805, KF804, KF803 and KF802). A flow rate of 1 mL/min and temperature of 35° C. is maintained. The columns are calibrated with narrow molecular weight PS standards (EasiCal PS-2, Polymer Laboratories, Inc.).


Example P1: Polyarylene Ether

To a round bottom flask, 50.0 g of 3,3′-(oxydi-1,4-phenylene)bis(2,4,5-triphenylcyclopentadienone) (DPO-CPD), 11.35 g 3,5-diethynyl phenol (DEP) and 370.0 g of gamma-butyrolactone (GBL) is added and the mixture heated at 135° C. for 3 hours. The reaction is cooled to room temperature and added to 5 Liters (L) of a 4:1 mixture of iso-propyl alcohol (IPA):water and stirred for 30 minutes. The brown solid is collected by vacuum filtration. The solid is stirred in 3 L of water for 2 hours. The slurry is filtered by vacuum filtration and dried in a vacuum oven at 65° C. for two days. 60 g of P1 was collected. GPC analysis provided a Mw of 4970 Da and a PDI of 1.8.


Example P2, Example P3, and Example P4

Polymers of Example P2 and Example P3, are made with a similar procedure as for Example P1 with the exception that the corresponding respective monomer is used in the appropriate mole amounts to provide the desired polymers. Example P4 is obtained from PolyK Technologies (Matrimid 5218). The polymer of Example P1, Example P2, Example P3, and Example P4 are listed and summarized in Table 1.


Example P5: 9-anthracenylmethyl Methacrylate/Hydroxy Adamantyl Methacrylate

To a round bottom flask 12.0 g 9-anthracenylmethyl methacrylate, 2.59 g hydroxy adamantyl methacrylate, 1.36 g 2,2′-azobis(2,4-dimethylvaleronitrile) (V-65) and 35.0 g tetrahydrofuran (THF) is added. The reaction mixture is bubbled under N2 with stirring for 15 minutes, warmed to 69° C., and left stirring at 69° C. for 20 hours. The reaction mixture is cooled to room temperature and poured into 800 mL methanol to give a solid product. The product is filtered and washed in excess methanol, air-dried for 4 hours, and vacuum-dried at 50° C. for an additional 20 hours to give polymer P5. (14.6 g, Mw=5790, PDI=2.2).


Comparative Example CP1 is made with a similar procedure as Example P5 with the exception that the corresponding respective monomer is used in the appropriate mole amounts to provide the desired polymers.


Comparative Example CP2: Styrene/4-acetoxystyrene/Hydroxyethyl Acrylate

To a round bottom flask is added 5.0 g styrene, 7.80 g 4-acetoxystyrene, 2.82 g hydroxyethyl acrylate, 1.41 g 2,2′-azobis(2-methylpropionate) (V-601) and 35.0 g propylene glycol monomethyl ether acetate (PGMEA). The reaction mixture is bubbled under N2 with stirring for 15 minutes, warmed to 90° C. and left stirring at 90° C. for 20 hours. The reaction mixture is cooled to room temperature and poured into 800 mL of 4:1 by volume methanol:water to provide a solid product. The product is filtered, washed in excess 4:1 methanol/water, then air-dried for 4 hours and vacuum-dried at 50° C. for an additional 20 hours to give polymer CP-2. 13.0 g, Mw=8540, PDI=2.0).


Polymer CP3 is made with a similar procedure as Comparative Example CP2 with the exception that the corresponding respective monomer is used in the appropriate mole amounts to provide the desired polymers.




embedded image


embedded image









TABLE 1







Polymer Synthesis and Molecular Weight














Monomer
Monomer
Monomer





Polymer
1 (x)
2 (y)
3 (z)
Mn
Mw
PDI
















P1



2740
4970
1.8


P2



5360
10250
1.9


P3



6940
16850
2.4












P4



Not determined














P5
80
20

2600
5790
2.2


CP1
54
23
23
2840
6930
2.4


CP2
40
40
20
4340
8540
2.0


CP3
60
20
20
3150
6040
1.9









Example Formulations

Photoresist underlayer compositions are prepared by dissolving the polymers set forth in Table 1 having a weight percent of 7.5 wt % to 20 wt % in the presence of other additives and solvent as listed in Table 2 (components in wt %) to form SOC compositions. The SOC compositions are filtered through a 0.2 μm ultra-high molecular weight polyethylene (UPE) syringe filter prior to spin coating.




embedded image


F1; PolyFox 656


Solvent 51; cyclohexanone


Solvent S2: propylene glycol monomethyl ether acetate









TABLE 2







SOC formulation mixing ratios














Additive
Additive
Additive



Formulation
Polymer 1
C1
T1
F1
Solvent





1
P1(20)


(0.02)
S1 (80)


2
P2 (20)


(0.02)
S1 (80)


3
P3 (15)


(0.02)
S2 (85)


4
P4 (7.5)


(0.02)
S1 (92.5)


5
P5 (17.6)
(2.2)
(0.18)
(0.02)
S1 (80)


C1
CP1 (17.6)
(2.2)
(0.18)
(0.02)
S1 (80)


C2
CP2 (18.5)
(1.3)
(0.18)
(0.02)
S1 (80)


C3
CP3 (18.9)
(0.9)
(0.18)
(0.02)
S1 (80)










Formulations 1-4 are coated and baked at 350° C./60 s, while formulations 5 and C1-C3 are coated and baked at 205° C./60 s. Film thicknesses are measured by ellipsometry and are summarized in Table 4.









TABLE 3







Underlayer film elemental compositions (atomic %)













Formulation
at % C
at % H
at % O
at % other

















1
58.9
39.3
1.8
0.0



2
58.8
38.6
2.6
0.0



3
60.0
34.5
3.7
1.8



4
53.0
36.4
7.6
0.0



5
47.9
44.9
6.1
1.1



C1
39.1
48.7
10.3
1.9



C2
43.8
47.5
7.9
0.8



C3
41.6
51.0
6.3
1.1










Process of Metal Precursor Infiltration

The films are each subjected to a metallization process whereby they are exposed to metal precursors and oxidants according to the following process. Wafers coated with cured photoresist underlayer are placed into a reactor chamber that is heated and maintained at 150° C. N2 is flowed at 2 sccm until pressure stabilized at 60 mTorr, and then the chamber sealed and held for 0.5 s. Trimethylaluminum gas is pulsed into the chamber for 0.15 s followed by a wait period of 60 s. N2 is then flowed into the chamber at 20 sccm for 90 s, and then reduced to 2 sccm until pressure is stabilized at 60 mTorr. Water is pulsed into the chamber for 0.15 s followed by a wait period of 60 s. N2 is flowed into the chamber at 20 sccm for 90 s. The chamber is cooled to room temperature and the wafers removed.


Etch Resistance:

Polymer etch rates are determined from a film coated and metallized as described above. A Plasma-Therm 700+ Series etching tool is used to determine bulk film dry etch rates using conditions outlined below. Film thickness before and after etch under argon/CF4 is measured and etch rate calculated. Etch resistance data on metallized films is summarized in Table 4 below.


Etch Conditions:



















argon/CF4



Flow (sccm)
50/20



Power (W)
500



Pressure (mTorr)
 10



Time (sec)
0, 83, 166, 250, 333

















TABLE 4







Underlayer film etch resistance after


metallization with aluminum oxide

















CF4 RIE




FT before
FT after
%
etch rate



Formulation
VPI (nm)
VPI (nm)
swelling
(nm/s)

















1
1494
1528
2.3%
0.83



2
702
726
3.4%
0.73



3
1130
1160
2.7%
0.89



4
875
884
1.0%
0.87



5
1193
1209
1.3%
0.84



C1
788
822
4.3%
1.12



C2
1078
1163
7.9%
1.10



C3
995
1110
11.6% 
0.99










As seen in Table 4, the inventive photoresist underlayer films have substantially lower CF4 RIE etch rates relative to comparative underlayer films, and exhibit little or substantially no swelling following metallization. The enhanced etching characteristic of the metallized films can thus provide the more stringent requirements necessary for patterning high aspect ratio contact holes for 3D NAND applications. The inventive processes may therefore find use in semiconductor manufacturing to produce memory devices.


While this disclosure has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.

Claims
  • 1. A method of forming a pattern on a substrate, the method comprising: forming a photoresist underlayer over a surface of the substrate, wherein the photoresist underlayer is formed from a composition comprising a polymer and a solvent, and the photoresist underlayer has a carbon content of greater than 47 at %;subjecting the photoresist underlayer to a metal precursor, wherein the metal precursor infiltrates a free volume of the photoresist underlayer; andexposing the metal precursor-treated photoresist underlayer to an oxidizing agent to provide a metallized photoresist underlayer.
  • 2. The method of claim 1, wherein prior to the subjecting of the photoresist underlayer to the metal precursor, the method further comprises: forming an antireflective coating layer over the photoresist underlayer and a photoresist layer over the antireflective coating layer;exposing the photoresist layer to activating radiation and developing the exposed photoresist layer to form a photoresist pattern; andtransferring the photoresist pattern by etching to the antireflective coating layer and the photoresist underlayer.
  • 3. The method of claim 2, further comprising exposing the patterned, metallized photoresist underlayer to a plasma etch or ion-beam etch to transfer the pattern to the substrate.
  • 4. The method of claim 1, wherein the photoresist underlayer has a carbon content of greater than 47 at % and less than 60 at %.
  • 5. The method of claim 1, wherein the photoresist underlayer has an oxygen content of less than 10 at %.
  • 6. The method of claim 1, wherein the polymer is a polyarylene, a polyimide, a poly(arylether), a polysulfone, a poly(meth)acrylate, a polyvinyl aromatic, or a combination thereof.
  • 7. The method of claim 1, wherein the polymer comprises a functional group selected from a keto-carbonyl, ether, ester, hydroxy, carboxylic acid, amide, carbamate, urea, carbonate, aldehyde, acetal, ketal, imide, sulfonic acid, sulfonate ester, or a combination thereof.
  • 8. The method of claim 1, wherein the polymer comprises polymerized units including a monomer unit of Formula (1)
  • 9. The method of claim 1, wherein the polymer comprises polymerized units including a monomer unit of Formula (2)
  • 10. The method of claim 1, wherein the polymer comprises a monomeric unit represented by one or more of the following Formulae A to E