The electronics industry has experienced an ever-increasing demand for smaller and faster electronic devices which are simultaneously able to support a greater number of increasingly complex and sophisticated functions. Accordingly, there is a continuing trend in the semiconductor industry to manufacture low-cost, high-performance, and low-power integrated circuits (ICs). Thus far these goals have been achieved in large part by scaling down semiconductor IC dimensions (e.g., minimum feature size) and thereby improving production efficiency and lowering associated costs. However, such scaling has also introduced increased complexity to the semiconductor manufacturing process. Thus, the realization of continued advances in semiconductor ICs and devices calls for similar advances in semiconductor manufacturing processes and technology.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. As used herein, “directly over” refers to a vertical alignment of features such that when an overlying feature that is directly over an underlying feature, a vertical axis passes through both features. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
As used herein, “positive slope” and “negative slope” refer to the typical reference system in which a positive slope is defined by an increase in a vertical or Z direction with an increase in the lateral or X direction and a negative slope is defined by an decrease in a vertical or Z direction with an increase in the lateral or X direction.
Further, spatially relative terms, such as “directly over”, “over”, “overlying”, “above”, “upper”, “top”, “under”, “underlying”, “beneath”, “below”, “lower”, “bottom”, “side”, “positive slope” and “negative slope” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
In certain embodiments herein, a “material layer” is a layer that includes at least 50 wt. % of the identified material, for example at least 60 wt. % of the identified material, or at least 75 wt. % of the identified material, or at least 90 wt. % of the identified material; and a layer that is a “material” includes at least 50 wt. % of the identified material, for example at least 60 wt. % of the identified material, at least 75 wt. %, at least 90 wt. %, at least 99 wt. %, or at least 99.9 wt. % of the identified material. For example, certain embodiments, each of a titanium nitride layer and a layer that is titanium nitride is a layer that is at least 50 wt. %, at least 60 wt. %, at least 75 wt. %, at least 90 wt. %, at least 99 wt. %, or at least 99.9 wt. % titanium nitride.
For the sake of brevity, well-known techniques related to semiconductor device fabrication may not be described in detail herein. Moreover, the various tasks and processes described herein may be incorporated into a more comprehensive procedure or process having additional functionality not described in detail herein. In particular, various processes in the fabrication of semiconductor devices are well-known and so, in the interest of brevity, many processes will only be mentioned briefly herein or will be omitted entirely without providing the well-known process details. As will be readily apparent to those skilled in the art upon a complete reading of the disclosure, the structures disclosed herein may be employed with a variety of technologies, and may be incorporated into a variety of semiconductor devices and products. Further, it is noted that semiconductor device structures include a varying number of components and that single components shown in the illustrations may be representative of multiple components.
As described herein, a method is performed to reduce residue on the sidewall of a structure after an etching process. Specifically, the method reduces residue at the intersection or junction of two sidewalls, i.e., at an internal corner, such as an internal corner having an internal angle of 90 degrees. For example, during formation of a sacrificial structure, such as a sacrificial gate, sacrificial material is deposited over fin structures and over the isolation material located between fin structures. Then, the sacrificial material is patterned to form the sacrificial gate. During this process, an undesirable residue of the sacrificial material may remain at the junction of the sidewall of the fin structure and the sidewall of the sacrificial gate. Further, such residue may cause the improper formation of later-formed structures, leading to electrical shorts or other issues.
In embodiments herein, before deposition of the sacrificial material, the isolation material is recessed to a serrated surface. For example, the recessed surface of the isolation material has a wave shape or pattern and includes alternating crests and troughs. Then, the sacrificial material is deposited over the fin structures and over the recessed isolation material. During the etch process used to pattern the sacrificial material, after removing selected portions of the sacrificial material, plasma ions are reflected off of the wave shape and toward the sacrificial gate sidewall and/or toward the fin structure sidewall. Thus, the amount of unwanted residue is reduced or eliminated.
Embodiments of the present disclosure offer advantages over the existing art, though it is understood that other embodiments may offer different advantages, not all advantages are necessarily discussed herein, and no particular advantage is required for all embodiments.
For purposes of the discussion that follows,
While
As shown in
As shown in
Fin structures 200 extend in the X-direction and are spaced from one another in the Y-direction.
Also, the device 1000 may include isolation features 300, such as shallow trench isolation (STI) regions, adjacent to each fin structure 200. The isolation regions 300 extend in the X-direction and a spaced from one another in the Y-direction. In some embodiments, the isolation features 300 include silicon oxide. The isolation features 300 may include other suitable materials.
As shown in
Thus, the gate structure 400 may include a portion 421 between the lowest nanosheet 221 and the mesa portion 210, a portion 422 between the middle nanosheet 222 and the lower nanosheet 221, a portion 423 between the uppermost nanosheet 223 and the middle nanosheet 222, and an upper portion 424 located above the uppermost nanosheet 223.
As shown in
As further shown in
As further shown in
Also, inner spacers 800 are located laterally between the gate portions 421, 422, and 423 and the source/drain regions 500.
As further shown in
In
Referring to
As a result of the fabrication methods described herein, device 1000 is formed with reduced vulnerability to shorting between the source/drain regions 500 and the gate structure 400. Specifically, a distance in the longitudinal Y-direction between the inner spacer 800 and the adjacent spacer structures 700 is reduced. For example, in
The first end 801 is distanced from the first spacer structure 701 by a minimum distance D1. In some embodiments, the minimum distance D1 is from zero, i.e., the inner spacer 800 contacts the first spacer structure 701, to 2 nanometers (nm). The second end 802 is distanced from the second spacer structure 702 by a minimum distance D2. In some embodiments, the minimum distance D2 is from zero, i.e., the inner spacer 800 contacts the second spacer structure 702, to 2 nanometers (nm).
In some embodiments, the minimum first distance D1 between the spacer structure 701 and the inner spacer 800 in the longitudinal Y-direction is located at an interface 805 between the inner spacer 800 and spacer structure 700, and the metal gate 400 extends toward the interface 805 to a vertical edge 631. As shown, a lateral profile of the edge 631 of the metal gate 400 has an internal angle A1 of greater than 100 degrees. For example, internal angle A1 may be from 100 to 120 degrees. In some embodiments, internal angle A1 may be at least 100, at least 105, at least 110, or at least 115 degrees and may be at most 120, at most 115, at most 110, or at most 105 degrees.
Referring now to
As with the other method embodiments and devices discussed herein, it is understood that parts of the semiconductor device 1000 may be fabricated by typical semiconductor technology process flow, and thus some processes are only briefly described herein. Further, the semiconductor devices may include various other devices and features, such as other types of devices such as additional transistors, bipolar junction transistors, resistors, capacitors, inductors, diodes, fuses, and/or other logic devices, etc., but is simplified for a better understanding of concepts of the present disclosure. In some embodiments, the devices include a plurality of semiconductor devices (e.g., transistors), including PFETs, NFETs, etc., which may be interconnected.
At operation S902, the method 900 (
As shown in
In some embodiments, the epitaxial layer 214 has a thickness ranging from 5 to 15 nm. The epitaxial layers 214 may be substantially uniform in thickness. In some embodiments, the epitaxial layer 220 has a thickness ranging from 5 to 15 nm. In some embodiments, the epitaxial layers 220 of the stack are substantially uniform in thickness. As described in more detail below, the epitaxial layer 220 may serve as channel region(s) for a subsequently formed multi-gate device 1000 and has a thickness chosen based on device performance considerations. The epitaxial layer 214 may serve to define a gap between adjacent channel region(s) for a subsequently formed multi-gate device 1000 and has a thickness chosen based on device performance considerations.
By way of example, epitaxial growth of the epitaxial stack 212 may be performed by a molecular beam epitaxy (MBE) process, a metalorganic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes. In some embodiments, the epitaxially grown layers such as, the epitaxial layers 220, include the same material as the substrate 100. In some embodiments, the epitaxially grown layers 214 and/or 220 include a different material than the substrate 100. As stated above, in at least some examples, the epitaxial layer 214 includes an epitaxially grown Si1-xGex layer (wherein x is from 0.10 to 0.55 and the epitaxial layer 220 includes an epitaxially grown silicon (Si) layer. Alternatively, in some embodiments, either of the epitaxial layers 214 and 220 may include other materials such as germanium, a compound semiconductor such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, or combinations thereof. As discussed, the materials of the epitaxial layers 214 and 220 may be chosen based on providing differing oxidation, etch selectivity properties. In various embodiments, the epitaxial layers 214 and 220 are substantially dopant-free (i.e., having an extrinsic dopant concentration from 0 cm−3 to 1×1017 cm−3), where for example, no intentional doping is performed during the epitaxial growth process. In some embodiments, the bottom layer and the top layer of the epitaxial stack 212 are SiGe layers (not shown). In alternative embodiments, the bottom layer of the epitaxial stack 212 is a Si layer and the top layer of the epitaxial stack 212 is a SiGe layer (not shown).
As shown in
As shown in
In various embodiments, each fin 200 includes an upper portion of the interleaved epitaxial layers 214 and 220, and a bottom portion, or mesa portion 210, that is formed from the etched substrate 100. Each fin 200 protrudes upwardly in the Z-direction from the substrate 100 and extends lengthwise in the Y-direction. Sidewalls of each fin 200 may be straight or inclined (not shown). Additional fins would be spaced apart along the X-direction. The fins 200 may have a same width or different widths.
As shown in
As shown in
As shown in
As shown in
In some embodiments, the etching technique used to recess the isolation material to form the isolation features 300 includes a chemical etch and a plasma etch. In some embodiments, the etching technique used to recess the isolation features 300 includes a cycle or cycles of a chemical etch and a plasma etch. For example, the etching technique may include from one to five cycles of a chemical etch and a plasma etch. In one embodiment, the etching technique includes three cycles of a chemical etch and a plasma etch.
In some embodiments, the etching technique used to recess the isolation features 300 uses an etchant gas selected from NH3, NF3, HBr, and H2.
In some embodiments, the etching technique used to recess the isolation features 300 uses a passivation gas selected from N2 and O2 for selectivity.
In some embodiments, the etching technique used to recess the isolation features 300 uses a dilute gas selected from He, Ar, and N2.
In some embodiments, the etching technique used to recess the isolation features 300 is performed at a power of from 10 to 4000 Watts.
In some embodiments, the etching technique used to recess the isolation features 300 is performed at a pressure of from 10 mTorr to 3 Torr.
In some embodiments, the etching technique used to recess the isolation features 300 is performed with a gas flow of from 20 to 3000 standard cubic centimeter per minute (sccm).
In an embodiment, the etching technique used to recess the isolation material to form the isolation features 300 selectively removes the isolation material 330 without etching the fins 200. The mask layer 217 (shown in
As shown, the surface 310 includes a terminal crest 316, or peak, abutting the sidewall 229 of a fin 200 and a terminal crest 317, or peak, abutting the sidewall 229 of a fin 200. Further, the surface 310 includes an intermediate crest 314, or peak, located between the terminal crest 316 and the terminal crest 317; and includes an intermediate crest 315, or peak, located between the intermediate crest 314 and the terminal crest 317. The distance between terminal crest 316 and intermediate crest 314 may be equal to the distance between terminal crest 317 and intermediate crest 315.
As shown, the surface 310 includes a terminal trough 312, or nadir, located between the terminal crest 316 and the intermediate crest 314. Further, the surface 310 includes a terminal trough 313 located between the terminal crest 317 and the intermediate crest 315. Also, the surface 310 includes a central trough 311 located between the intermediate crest 314 and the intermediate crest 315. The distance between central trough 311 and terminal trough 312 may be equal to the distance between central trough 311 and terminal trough 313.
As shown, each of the troughs and crests is located at a vertical distance (in the Z-direction) from a horizontal plane P1 defined by the uppermost surface 211 of the mesa portion 210 of a fin 200 or of adjacent fins 200.
The central trough 311 is located at a vertical distance D1 from the plane P1. In some embodiments, the vertical distance D1 is from 15 to 35 nm. For example, vertical distance D1 may be at least 15, at least 20, at least 25, or at least 30 nm. Further, vertical distance D1 may be at most 20, at most 25, at most 30, or at most 35 nm.
In embodiments in which the fins 200 are formed with a larger pitch, the vertical distance D1 is from 25 to 45 nm. For example, vertical distance D1 may be at least 25, at least 30, at least 35, or at least 40 nm. Further, vertical distance D1 may be at most 30, at most 35, at most 40, or at most 45 nm.
The intermediate trough 312 is located at a vertical distance D2 from the plane P1. The intermediate trough 313 is located at a vertical distance D3 from the plane P1. The vertical distances D2 and D3 may be the same or may be different. In some embodiments, the vertical distance D2 or D3 is from 10 to 20 nm. For example, vertical distance D2 or D3 may be at least 10, at least 12.5, at least 15, or at least 17.5 nm. Further, vertical distance D2 or D3 may be at most 20, at most 17.5, at most 15, or at most 12.5 nm.
In embodiments in which the fins 200 are formed with a larger pitch, the vertical distance D2 or D3 is from 20 to 30 nm. For example, vertical distance D2 or D3 may be at least 20, at least 22.5, at least 25, or at least 27.5 nm. Further, vertical distance D2 or D3 may be at most 30, at most 27.5, at most 25, or at most 22.5 nm.
The intermediate crest 314 is located at a vertical distance D4 from the plane P1. The intermediate crest 315 is located at a vertical distance D5 from the plane P1. The vertical distances D4 and D5 may be the same or may be different. In some embodiments, the vertical distance D4 or D5 is from 5 to 15 nm. For example, vertical distance D4 or D5 may be at least 5, at least 7.5, at least 10, or at least 12.5 nm. Further, vertical distance D4 or D5 may be at most 15, at most 12.5, at most 10, or at most 7.5 nm.
In embodiments in which the fins 200 are formed with a larger pitch, the vertical distance D4 or D5 is from 15 to 25 nm. For example, vertical distance D4 or D5 may be at least 15, at least 17.5, at least 20, or at least 22.5 nm. Further, vertical distance D4 or D5 may be at most 25, at most 22.5, at most 20, or at most 17.5 nm.
The terminal crest 316 is located at a vertical distance D6 from the plane P1. The terminal crest 317 is located at a vertical distance D7 from the plane P1. The vertical distances D6 and D7 may be the same or may be different. In some embodiments, the vertical distance D6 or D7 is from 2 to 12 nm. For example, vertical distance D6 or D7 may be at least 2, at least 5, at least 7.5, or at least 10. Further, vertical distance D6 or D7 may be at most 12, at most 10, at most 7.5, or at most 5 nm.
In embodiments in which the fins 200 are formed with a larger pitch, the vertical distance D4 or D5 is from 5 to 20 nm. For example, vertical distance D6 or D7 may be at least 5, at least 7.5, at least 10, at least 12.5, at least 15, or at least 17.5. Further, vertical distance D6 or D7 may be at most 20, at most 17.5, at most 15, at most 12.5, at most 10, or at most 7.5 nm.
In
As shown in
As shown in
Deposition of the sacrificial gate material may include first conformally depositing a sacrificial gate dielectric layer 410′ over the fins 200 and isolation region 300. A sacrificial gate electrode layer 420′ is then blanket deposited on the sacrificial gate dielectric layer 410′. It is noted that the sacrificial gate dielectric layer 410′ may be deposited instead of the layer 350. Thus, in embodiments using a sacrificial gate dielectric layer 410′, the layer 350 need not be deposited. The sacrificial gate dielectric layer 410′ is conformal and thin and retains the serrated profile or wave shape of the underlying isolation feature 300.
The sacrificial gate dielectric layer 410′ may include silicon oxide, silicon nitride, or a combination thereof. The thickness of the sacrificial gate electrode layer 420′ may be from 100 to 200 nm in some embodiments. The sacrificial gate electrode layer 420′ includes silicon such as polycrystalline silicon or amorphous silicon. The thickness of the sacrificial gate dielectric layer 410′ may be from 1 to 5 nm in some embodiments, such as from 2 to 3 nm. In some embodiments, the sacrificial gate electrode layer 420′ is subjected to a planarization operation. The sacrificial gate dielectric layer 410′ and the sacrificial gate electrode layer 420′ are deposited using CVD, including LPCVD and PECVD, PVD, ALD, or other suitable process.
As shown in
The sacrificial gate structures 400′ are formed over portions of the fin 200 which are to be channel regions. The sacrificial gate structures 400′ may extend over a number of adjacent fins (not shown). The sacrificial gate structures 400′ lie directly over and define the channel regions of the GAA devices to be formed. Each of the sacrificial gate structures 400′ includes a sacrificial gate dielectric 410′ and a sacrificial gate electrode 420′ over the sacrificial gate dielectric 410′. As shown, the sacrificial gate structures 400′ extend lengthwise in the X-direction and are spaced apart in the Y-direction.
To form the sacrificial (dummy) gate structures 400′, a mask layer (not shown) may be formed over the sacrificial gate electrode layer 420′. The mask layer may include multiple layers including a silicon oxide layer and a silicon nitride layer. Subsequently, a patterning operation is performed on the mask layer, and the sacrificial gate electrode layers 420′ and the sacrificial gate dielectric layer 410′ are patterned into the sacrificial gate structures 400′, including sacrificial gate dielectric layer 410′ and sacrificial gate electrode 420′.
Etching of the sacrificial gate layers to form the sacrificial (dummy) gate structures 400′ may include a plasma etching process, with the sacrificial gate dielectric 410′ as an etch stop layer, to etch the sacrificial gate material 420′, followed by a wet clean process to etch the sacrificial gate dielectric 410′. After the wet clean process, a native oxide layer 299 may be formed on the fin structure 200.
As shown in
For example, during the plasma etching process, plasma ions are directed at the sacrificial gate electrode layer 420′ and toward the isolation features 300. When portions of the sacrificial gate electrode layer 420′ overlying a region of the isolation feature 300 are removed, the plasma ions strike the optional layer 350 or sacrificial gate dielectric 410′ and are reflected. Due to the serrated profile or wave shape of such layers 350 or 410′, the plasma ions are reflected laterally and/or longitudinally i.e., in the X-direction and/or Y-direction. Specifically, the plasma ions may be reflected toward the sidewall 229 of the fin 200 and/or toward the sidewall 430′ of the sacrificial gate 400′ being formed. As a result, the ion bombardment is increased at the intersection of the fin sidewall 229 and the sidewall 430′ of the sacrificial gate 400′. The plasma bombardment increases the physical etching of material.
In some embodiments, the maximum lateral width W1 is 4 nm. For example, the maximum lateral width W1 may be from 1 to 3 nm. In some embodiments, the maximum lateral width W1 is at least 1 nm, at least 1.5 nm, at least 2 nm, or at least 2.5 nm. In some embodiments, the maximum lateral width W1 is at most 1.5 nm, at most 2 nm, at most 2.5 nm, at most 3 nm, at most 3.5 nm, or at most 4 nm.
As further shown in
In some embodiments, the maximum longitudinal width W2 is 4 nm. For example, the maximum longitudinal width W2 may be from 1 to 3 nm. In some embodiments, the maximum longitudinal width W2 is at least 1 nm, at least 1.5 nm, at least 2 nm, or at least 2.5 nm. In some embodiments, the maximum longitudinal width W2 is at most 1.5 nm, at most 2 nm, at most 2.5 nm, at most 3 nm, at most 3.5 nm, or at most 4 nm.
As further shown in
As shown most clearly in
As shown in
While not shown in
The spacers 700 may include spacer material such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, SiCN films, silicon oxycarbide, SiOCN films, and/or combinations thereof. In some embodiments, each of the spacers 700 include multiple layers, such as a liner layer 710 and a main spacer layer 720 on a sidewall of the liner layer 710.
By way of example, the spacers 700 may be formed by depositing spacer material including a liner material layer 710 and a dielectric material layer 720 over the sacrificial gate structures 400′ using processes such as a subatmospheric CVD (SACVD) process, a flowable CVD process, an ALD process, a PVD process, or other suitable process respectively.
As shown in
The liner material layer 710 and the dielectric material layer 720 may remain on the sidewalls of the sacrificial gate structure 400′ as the gate sidewall spacers 700, and on the sidewalls of the fins 200 as the fin sidewall spacers 700. In some embodiments, the etching-back process may include a wet etch process, a dry etch process, a multiple-step etch process, and/or a combination thereof. The spacers 700 may have a thickness ranging from 5 to 20 nm.
As shown most clearly in
As shown in
It is noted that
The epitaxial layers 214 may be selectively etched by using a wet etchant such as, but not limited to, ammonium hydroxide (NH4OH), tetramethylammonium hydroxide (TMAH), ethylenediamine pyrocatechol (EDP), potassium hydroxide (KOH), HF, O3, H2O2, or HCl solutions. Alternatively, the operation S922 may first selectively oxidize lateral ends of the epitaxial layers 214 that are exposed in the gaps 234 to increase the etch selectivity between the epitaxial layers 214 and 220. In some examples, the oxidation process may be performed by exposing the GAA device 1000 to a wet oxidation process, a dry oxidation process, or a combination thereof.
As shown in
As shown in
Further, as shown in
As further shown in
As shown in
In certain embodiments, the replacement metal gate process may include a wire-release process to form vertically-spaced nanosheets, in accordance with some embodiments. The wire release process step may also be referred to as a sheet release process step, a sheet formation process step, a nanosheet formation process step or a wire formation process step. In an embodiment the first layers 214 may be removed using a wet etching process that selectively removes the material of the first layers (e.g., silicon germanium (SiGe)) without significantly removing the material of the second layers 220 (e.g., silicon (Si)). However, any suitable removal process may be utilized.
For example, in an embodiment, an etchant such as a high temperature HCl may be used to selectively remove the material of the first layers 214 (e.g., SiGe) without substantively removing the material of the second layers 220 (e.g., Si). Additionally, the wet etching process may be performed at a temperature of from 400° C. to 600° C., such as about 560° C., and for a time of from 100 seconds to 600 seconds, such as about 300 seconds. However, any suitable etchant, process parameters, and time can be utilized.
According to some embodiments, the gate dielectric 410 comprises a high-K material (e.g., a material with a K value greater than or equal to 9) such as Ta2O5, Al2O3, Hf oxides, Ta oxides, Ti oxides, Zr oxides, Al oxides, La oxides (e.g., HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, TiO), combinations of these, or the like, deposited through a process such as atomic layer deposition, chemical vapor deposition, or the like. In some embodiments, the gate dielectric 410 comprises a nitrogen doped oxide dielectric that is initially formed prior to forming a metal content high-K (e.g., K value>13) dielectric material. The gate dielectric 410 may be deposited to a thickness of from 1 to 3 nm, although any suitable material and thickness may be utilized.
According to some embodiments, the metal electrode 420 is formed using multiple layers, each layer deposited sequentially adjacent to each other using a highly conformal deposition process such as atomic layer deposition, although any suitable deposition process may be utilized. According to some embodiments, a capping layer, a barrier layer, an n-metal work function layer, a p-metal work function layer, and a fill material may be provided.
The capping layer may be formed adjacent to the gate dielectric 410 and may be formed from a metallic material such as TaN, Ti, TiAlN, TiAl, Pt, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. The metallic material may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, or the like, although any suitable deposition process may be used.
The barrier layer may be formed adjacent the capping layer, and may be formed of a material different from the capping layer. For example, the barrier layer may be formed of a material such as one or more layers of a metallic material such as TiN, TaN, Ti, TiAIN, TiAl, Pt, TaC, TaCN, TaSiN, Mn, Zr, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. The barrier layer may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, or the like, although any suitable deposition process may be used.
The n-metal work function layer may be formed adjacent to the barrier layer. In an embodiment the n-metal work function layer is a material such as W, Cu, AlCu, TiAlC, TiAlN, TiAl, Pt, Ti, TiN, Ta, TaN, Co, Ni, Ag, Al, TaAl, TaAlC, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof. For example, the first n-metal work function layer may be deposited utilizing an atomic layer deposition (ALD) process, CVD process, or the like. However, any suitable materials and processes may be utilized to form the n-metal work function layer.
The p-metal work function layer may be formed adjacent to the n-metal work function layer. In an embodiment, the first p-metal work function layer may be formed from a metallic material such as W, Al, Cu, TIN, Ti, TiAlN, TiAl, Pt, Ta, TaN, Co, Ni, TaC, TaCN, TaSiN, TaSi2, NiSi2, Mn, Zr, ZrSi2, TaN, Ru, AlCu, Mo, MoSi2, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. Additionally, the p-metal work function layer may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, or the like, although any suitable deposition process may be used.
After the p-metal work function layer has been formed, the fill material is deposited to fill a remainder of the opening. In an embodiment the fill material may be a material such as tungsten, Al, Cu, AlCu, W, Ti, TiAlN, TiAl, Pt, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, combinations of these, or the like, and may be formed using a deposition process such as plating, chemical vapor deposition, atomic layer deposition, physical vapor deposition, combinations of these, or the like. However, any suitable material may be utilized.
After the openings resulting from the removal of the dummy gates 400′ have been filled, the materials of the metal electrode 420 and the gate dielectric 410 may be planarized in order to remove any material that is outside of the openings resulting from the removal of the dummy gates 400′. In a particular embodiment, the removal may be performed using a planarization process such as chemical mechanical polishing, although any suitable planarization and removal process may be utilized. According to some embodiments, the metal gates 400 may be formed to a vertical height, in the Z-direction, of from 70 nm to 85 nm. However, any suitable height may be used.
Method 900 (
In an embodiment, a method is provided and includes forming structures over a substrate; forming a layer between the structures; performing a first etch process to recess the layer to a surface having a serrated profile; optionally forming a film or films over the surface, wherein the film or films retain the serrated profile; depositing a material over the substrate; and performing a second etch process to etch a portion of the material and form the material with a sidewall, wherein the second etch process uncovers the serrated profile, and wherein during the second etch process ions are reflected from the serrated profile.
In some embodiments of the method, the structures include a first structure having a first sidewall and a second structure having a second sidewall; the portion of the material removed by the second etch process extends from the first sidewall to the second sidewall; and during the second etch process, ions are reflected from the serrated profile to remove material on the first sidewall and the second sidewall.
In some embodiments of the method, the structures include a first structure having a first sidewall; after the second etch process, a residue of the material remains on the first sidewall above the serrated profile; the residue has a thickness in a lateral direction perpendicular to the first sidewall; and the thickness is less than 4 nanometers (nm).
In some embodiments of the method, the structures include a first structure having a first sidewall; the first structure includes a mesa portion included of a first semiconductor material, a second semiconductor layer over the mesa portion, and a first semiconductor layer over the second semiconductor layer; after the second etch process, a residue of the material remains on the first sidewall; and the residue does not contact the first semiconductor layer.
In some embodiments of the method, the second etch process includes: selectively masking the material to define a masked portion of the material and an unmasked portion of the material, wherein the second etch process removes the unmasked portion of the material.
In some embodiments of the method, the first etch process includes cycles of a chemical etch and a plasma etch.
In some embodiments of the method, the first etch process uses an etchant gas selected from NH3, NF3, HBr, and H2; a passivation gas selected from N2 and O2; and a dilute gas selected from He, Ar, and N2.
In some embodiments of the method, the first etch process is performed at a power of from 10 to 4000 Watts; at a pressure of from 10 mTorr to 3 Torr; and with a gas flow of from 20 to 3000 sccm.
In another embodiment, a method includes forming a first fin structure and a second fin structure; forming an isolation region between the first fin structure and the second fin structure; recessing the isolation region to provide the isolation region with a recessed surface having a wave shape; depositing a sacrificial gate material over the isolation region; and etching a portion of the sacrificial gate material to form a sacrificial gate.
In some embodiments of the method, the wave shape includes: a first terminal crest abutting the first fin structure; a second terminal crest abutting the second fin structure; a first intermediate crest located between the first terminal crest and the second terminal crest; and a second intermediate crest located between the first intermediate crest and the second terminal crest.
In some embodiments of the method, the wave shape further includes a first terminal trough located between the first terminal crest and the first intermediate crest; a second terminal trough located between the second terminal crest and the second intermediate crest; and a central trough located between the first intermediate crest and the second intermediate crest.
In some embodiments of the method, each fin structure includes a mesa portion of a first semiconductor material and a second layer of a second semiconductor material, the mesa portion has an uppermost surface, the first terminal trough is located at a first vertical depth from the uppermost surface; the second terminal trough is located at a second vertical depth from the uppermost surface; the central trough is located at a central vertical depth from the uppermost surface; and the central vertical depth is greater than the first vertical depth and the second vertical depth.
In some embodiments of the method, the first terminal trough is from 10 to 20 nm; the second terminal trough is from 10 to 20 nm; and the central trough is from 15 to 35 nm.
In some embodiments of the method, the first terminal trough is from 20 to 30 nm; the second terminal trough is from 20 to 30 nm; and the central trough is from 25 to 45 nm.
In some embodiments of the method, the first fin structure has a sidewall; an angle is defined between the sidewall and the recessed surface; and the angle is from 120 to 160 degrees.
In another embodiment, a gate-all-around (GAA) device is provided and includes a first dielectric region distanced from a second dielectric region in a longitudinal Y-direction; a first source/drain region distanced from a second source/drain region in a lateral X-direction, wherein the first source/drain region is located between the first dielectric region and the second dielectric region; a fin structure including a semiconductor nanosheet distanced from a mesa portion in a vertical Z-direction, wherein the semiconductor nanosheet extends in the lateral X-direction from the first source/drain region to the second source/drain region; a gate structure overlying the fin structure, wherein the gate structure includes a metal gate and a high-k gate dielectric, wherein the gate structure extends in the longitudinal Y-direction, and wherein a lowest portion of the gate structure is located between the mesa portion and the semiconductor nanosheet; a first inner spacer separating the first source/drain region from the lowest portion of the gate structure in the lateral X-direction; a first spacer structure separating the first dielectric region from the gate structure in the lateral X-direction; and a second spacer structure separating the second dielectric region from the gate structure in the lateral X-direction; wherein a minimum first distance between the first spacer structure and the first inner spacer in the longitudinal Y-direction is from 0 to 2 nanometers (nm); and wherein a minimum second distance between the second spacer structure and the first inner spacer in the longitudinal Y-direction is from 0 to 2 nanometers (nm).
In some embodiments of the device, the minimum first distance is located at an interface, the metal gate extends toward the interface to an edge, and a lateral profile of the edge of the metal gate has an internal angle of greater than 100 degrees.
In some embodiments of the device, a minimum first distance is located at an interface, the metal gate extends toward the interface to an edge, and a lateral profile of the edge of the metal gate has an internal angle of from 100 to 120 degrees.
In some embodiments, the device further includes a first shallow isolation region distanced from a second shallow isolation region in the longitudinal Y-direction; wherein the mesa portion of the fin structure is located between the first shallow isolation region and the second shallow isolation region; the mesa portion of the fin structure has a sidewall abutting the first shallow isolation region; the first shallow isolation region has an uppermost surface; an angle is defined between the sidewall and the uppermost surface; and the angle is from 120 to 160 degrees.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.