SELECTIVE DEPOSITION OF SIOC THIN FILMS

Information

  • Patent Application
  • 20210398797
  • Publication Number
    20210398797
  • Date Filed
    September 01, 2021
    3 years ago
  • Date Published
    December 23, 2021
    3 years ago
Abstract
Methods for selectively depositing silicon oxycarbide (SiOC) thin films on a dielectric surface of a substrate relative to a metal surface without generating significant overhangs of SiOC on the metal surface are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a silicon precursor, a first Ar and H2 plasma, a second Ar plasma and an etchant.
Description
BACKGROUND
Field

The present disclosure relates generally to the field of semiconductor device manufacturing and, more particularly, to selective deposition of silicon oxycarbide (SiOC) films on dielectric materials.


Description of the Related Art

There is increasing need for dielectric materials with relatively low dielectric constant (k) values and relatively low acid-based wet etch rates. Silicon oxycarbide (SiOC) may satisfy certain of these requirements. Selective deposition of dielectric films like SiOC on a patterned surface, such as on a dielectric surface relative to a metal surface can be advantageous in a number of settings. For example, in the case of the case of self-aligned vias in Back End of Line (BEOL) processing area selective growth of a low k dielectric like SiOC on top of the existing dielectric areas of a BEOL structure is desirable.


One of the challenges with area selective deposition of dielectric materials such as SiOC is overgrowth on the adjacent surfaces, such as on adjacent metal areas. Such overgrowth can, for example, reduce the area available to make a via with a low series resistance on a BEOL structure.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1A and FIG. 1B show a flow diagram utilizing schematic cross sections of a portion of a substrate having first and second surfaces of low-k material and copper, and generally illustrate area selective deposition in which undesirable overgrowth on the metal area is visible (FIG. 1A) and the more desirable deposition in which no deposited material is present on the metal surface (FIG. 1B).



FIG. 2A and FIG. 2B show a flow diagram utilizing schematic cross sections of a portion of a substrate having first and second surfaces of low-k material and copper, and generally illustrate an area selective deposition according to some embodiments utilizing a plasma treatment and subsequent etch to remove overhangs on the copper surface.



FIG. 3 is a flow diagram illustrating a process flow for selectively forming a SiOC film on a dielectric surface relative to a metal surface according to some embodiments utilizing a plasma treatment and subsequent etch to remove overhanging material from the metal surface.



FIG. 4 is a flow diagram illustrating a process flow for selectively forming a SiOC film on a dielectric surface relative to a metal surface according to some embodiments utilizing a plasma treatment and subsequent etch to remove overhanging material from the metal surface.





DETAILED DESCRIPTION

Silicon oxycarbide (SiOC) films have a wide variety of applications, for example in integrated circuit fabrication. SiOC films may be useful as, for example, etch stop layers, sacrificial layers, low-k spacers, anti-reflection layers (ARL), and passivation layers. SiOC can be deposited selectively, for example on dielectric or low-k materials relative to metal, as described, for example, in U.S. application Ser. No. 16/588,600, incorporated by reference herein. This can be referred to as area selective deposition. Area selective deposition of SiOC on a flat patterned surface generally proceeds in an isotropic way, resulting in “mushroom” type growth, as is illustrated in FIG. 1A. The deposited material typically grows both vertically and horizontally from the area in which the growth selectively takes place. In many applications this lateral growth is undesirable. Vertical growth is preferred to maintain the dimension of the original structure on which the growth takes place and not to cover the neighboring area, as is shown in FIG. 1B.


In some embodiments, area selective growth of a dielectric, for example a low k dielectric such as SiOC, takes place selectively on a first surface, such as a dielectric or low-k surface, without undesirable levels of overgrowth on a second surface, such as a metal surface. In some embodiments the first surface comprises an inorganic dielectric surface, such as low-k material, while the second surface comprises a metallic surface, such as an elemental metal or metal alloy. Examples of low-k material include silicon oxide-based materials, including grown or deposited silicon dioxide, doped and/or porous oxides, native oxide on silicon, etc. In some embodiments, area selective deposition of a dielectric is conducted using a PEALD process that includes one or more plasma treatment and/or etch steps that preferentially remove material grown laterally over a neighboring metal surface. In some embodiments a wet etch, such as in dilute HF, and/or a dry etch may be utilized in the process to remove SiOC from adjacent metal surfaces and obtain a desired structure.


In some embodiments SiOC is selectively deposited on a dielectric surface of a substrate relative to a different surface of the substrate, such as a metal surface. For example, SiOC may be preferentially deposited on dielectrics like SiO2 or SiN relative to metals like TiN, W, Co, Cu, or Ru. In some embodiments the area selective PEALD deposition process can be a SiOC deposition process using (3-methoxypropyl) trimethoxysilane (MPTMS) as a silicon precursor and a hydrogen plasma reactant. The deposition conditions may be selected such that the top surface of the SiOC has a lower etch rate than the SiOC on the sidewalls. For example, the plasma used in the reaction with the silicon precursor may be anisotropic and can result in inhomogeneous characteristics of the deposited material. The wet etch rate of SiO2, SiN or SiOC in diluted HF is known to be very sensitive to e.g. the density of the material and the hydrogen impurity content in the material, which depend on how direct the grown material is exposed to the plasm ions. The top surface is directly exposed to the ions of the incoming plasma, while the material at the sidewall is more indirectly exposed to the plasma. Thus, the plasma used to react with the silicon precursor to form SiOC can be tuned in such a way that the top surface of the deposited SiOC has a low wet etch rate, while material on the sidewall has a higher wet etch rate.


The material at the side of the overhang on the metal surface, also referred to as the “mushroom” shape is less directly exposed to the incoming plasma and as a result, the portion of the film that overhangs the metal surface will generally have a higher etch rate than the portion of the film overlying the dielectric material. This characteristic can be used to etch and reshape the area selectively grown material by preferentially etching away the material that is grown laterally over the neighboring metal surface, as is depicted in FIG. 2B. The deposition process may include a plasma treatment that removes material preferentially from an overhanging portion on the metal surface. The deposition process may also include an etching process, such as a diluted wet etch, and/or a dry or plasma etch to remove deposited SiOC from over the metal surface. The entire process can be performed in a cyclic manner, repeating several deposition and etch cycles. The result is a structure with a minimal amount of lateral growth over the neighboring metal surfaces, as illustrated in FIG. 2B.


The PEALD processes for selectively forming a SiOC film on a dielectric surface relative to a metal surface may be used in a variety of applications. In some embodiments the processes are used in various back end of line (BEOL) or middle-of-line (MOL) applications. For example, a PEALD process as described herein may be used in the formation of low-k dielectric layers on top of existing dielectric material in the formation of a back end of line (BEOL) structure.


According to some embodiments methods for selectively forming SiOC films on dielectric or low-k surface relative to metal surfaces are provided. In some embodiments SiOC on the metal surface is reduced relative to SiOC on the dielectric surface or avoided completely. In some embodiments SiOC thin films are formed on a first dielectric surface of a substrate relative to a second metal surface by plasma-enhanced atomic layer deposition (PEALD) processes. In some embodiments SiOC thin films are not deposited by liquid phase methods. According to some embodiments methods for selectively forming SiOC films on a first surface relative to a second surface, wherein the first and second surfaces are different from each other, are provided.


The formula of the silicon oxycarbide films is generally referred to herein as SiOC for convenience and simplicity. As used herein, SiOC is not intended to limit, restrict, or define the bonding or chemical state, for example the oxidation state of any of Si, O, C, and/or any other element in the film. Further, in some embodiments SiOC thin films may comprise one or more elements in addition to Si, O, and/or C, such as S. In some embodiments the SiOC films may comprise Si—C bonds and/or Si—O bonds. In some embodiments the SiOC films may comprise Si—C bonds and Si—O bonds and may not comprise Si—N bonds. In some embodiments the SiOC films may comprise Si—S bonds in addition to Si—C and/or Si—O bonds. In some embodiments the SiOC films may comprise more Si—O bonds than Si—C bonds, for example a ratio of Si—O bonds to Si—C bonds may be from about 1:1 to about 10:1. In some embodiments the SiOC may comprise from about 0% to about 40% carbon on an atomic basis. In some embodiments the SiOC may comprise from about 0.1% to about 40%, from about 0.5% to about 30%, from about 1% to about 30%, or from about 5% to about 20% carbon on an atomic basis. In some embodiments the SiOC films may comprise from about 0% to about 70% oxygen on an atomic basis. In some embodiments the SiOC may comprise from about 10% to about 70%, from about 15% to about 50%, or from about 20% to about 40% oxygen on an atomic basis. In some embodiments the SiOC films may comprise about 0% to about 50% silicon on an atomic basis. In some embodiments the SiOC may comprise from about 10% to about 50%, from about 15% to about 40%, or from about 20% to about 35% silicon on an atomic basis. In some embodiments the SiOC may comprise from about 0.1% to about 40%, from about 0.5% to about 30%, from about 1% to about 30%, or from about 5% to about 20% sulfur on an atomic basis. In some embodiments the SiOC films may not comprise nitrogen. In some other embodiments the SiOC films may comprise from about 0% to about 5% nitrogen on an atomic basis (at %).


ALD-type processes are based on controlled, generally self-limiting surface reactions. Gas phase reactions are typically avoided by contacting the substrate alternately and sequentially with the reactants. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant byproducts between reactant pulses. The reactants may be removed from proximity with the substrate surface with the aid of a purge gas and/or vacuum. In some embodiments, excess reactants and/or reactant byproducts are removed from the reaction space by purging, for example with an inert gas.


In some embodiments, plasma enhanced ALD (PEALD) processes are used to selectively form SiOC films on a dielectric surface relative to a metal surface. Selectivity of deposition on a first surface A relative to second surface B can be given as a percentage calculated by [(deposition on surface A)−(deposition on surface B)]/(deposition on the surface A). Deposition can be measured in any of a variety of ways known in the art or as described herein. For example, deposition may be given as the measured thickness of the deposited material or may be given as the measured amount of material deposited. In some embodiments selectivity of formation of SiOC on a first surface relative to a second surface, such as on a dielectric surface relative to a metal surface is >20%, >25%, >50%, >80%, >90%, >93%, >95%, >97%, >98%, or even greater than >99%.


The deposition process may include the use of plasma to form the SiOC, as well as a plasma treatment and subsequent etch treatment to remove SiOC that has been formed on the metal surface and thereby enhance the selectivity. The plasma conditions in the deposition process may be selected to achieve a desired etch selectivity in the deposited SiOC and to facilitate the removal of SiOC that has undesirably formed on the metal surface.


In some embodiments, thin SiOC films are selectively formed on the dielectric surface of a substrate relative to a metal surface by repetition of a PEALD cycle. In some embodiments for forming SiOC films, each PEALD cycle comprises at least four distinct phases. An exemplary cycle 100 for selectively forming SiOC on a dielectric surface relative to a metal surface is illustrated in FIG. 3. Briefly, a substrate or workpiece comprising a dielectric surface and a metal surface is placed in a reaction chamber and subjected to alternately repeated surface reactions. In a first phase (110, 120), a vapor phase first reactant or precursor comprising silicon contacts the substrate 110 and forms no more than about one monolayer of silicon species on the substrate surface. This reactant is also referred to herein as “the silicon precursor,” “silicon-containing precursor,” or “silicon reactant” and may be, for example, a silicon precursor comprising organic ligands or a silicon precursor comprising Si—O bonds. In some embodiments the silicon precursor comprises 3-methoxypropyltrimethoxysilane (MPTMS) or bis(triethoxysilyl)ethane (BTESE). The deposition conditions, such as the temperature, are selected such that species of the first reactant adsorb preferentially on the dielectric surface of the substrate relative to the metal surface.


The first silicon reactant pulse can be supplied in gaseous form. The silicon precursor gas is considered “volatile” for purposes of the present description if the species exhibits sufficient vapor pressure under the process conditions to transport the species to the substrate surface in sufficient concentration to saturate exposed surfaces.


In some embodiments the silicon reactant contacts the substrate surface for about 0.05 seconds to about 5.0 seconds, about 0.1 seconds to about 3 seconds or about 0.2 seconds to about 1.0 seconds. The optimum contacting time can be determined by the skilled artisan based on the particular circumstances.


In some embodiments excess first vapor phase reactant and any reaction byproducts are subsequently removed from the proximity of the substrate surface 120. The first vapor phase reactant and any reaction byproducts may be removed from proximity with the substrate surface with the aid of a purge gas and/or vacuum. In some embodiments, excess reactant and/or reactant byproducts are removed from the reaction space by purging, for example with an inert gas. The removal may, in some embodiments, be carried out for about 0.1 seconds to about 10 seconds, about 0.1 seconds to about 4 seconds or about 0.1 seconds to about 0.5 seconds. In some embodiments the substrate may be moved in order to facilitate removal of the reactant and/or reactant byproducts from the vicinity of the substrate surface, for example by moving the substrate to a different reaction chamber or a separate portion of the reaction chamber.


In a second phase (130, 140), a second reactant comprising a reactive species from a plasma contacts the substrate and converts adsorbed silicon species to SiOC 130, such that SiOC is preferentially deposited on the dielectric surface relative to the metal surface. Some deposition may, however, occur on the metal surface, for example as illustrated schematically in FIG. 1A. The first phase and second phase (110, 120, 130, 140) may together be referred to as the SiOC deposition portion of the overall cycle 100.


The second reactant may comprise a hydrogen precursor and may comprise a reactive hydrogen species. In some embodiments a reactive species includes, but is not limited to, radicals, plasmas, and/or excited atoms or species. Such reactive species may be generated by, for example, plasma discharge, hot-wire, or other suitable methods. In some embodiments the reactive species may be generated remotely from the reaction chamber, for example up-stream from the reaction chamber (“remote plasma”). In some embodiments the reactive species may be generated in the reaction chamber, in the direct vicinity of the substrate, or directly above the substrate (“direct plasma”).


The second reactant may comprise other species that are not hydrogen species. In some embodiments, the second reactant may comprise reactive species from a noble gas, such as one or more of He, Ne, Ar, Kr, or Xe, for example as radicals, in plasma form, or in elemental form. In some embodiments the second reactant comprises reactive species from an Ar plasma. These reactive species from noble gases do not necessarily contribute material to the deposited film but can in some circumstances contribute to film growth as well as help in the formation and ignition of plasma.


In some embodiments the substrate is contacted with a reactant comprising H2 and Ar plasma. The plasma, such as hydrogen and argon plasma may be formed by generating a plasma in a reactant gas, such as hydrogen and argon gas, in the reaction chamber or upstream of the reaction chamber, for example by flowing the hydrogen (H2) and Ar through a remote plasma generator.


In some embodiments one or more gases that are used to form a plasma may flow constantly throughout the deposition process but only be activated intermittently. For example, H2 and/or Ar gas may flow continuously throughout the deposition process. In some embodiments the gas may serve as a carrier gas for the silicon reactant and as a plasma reactant.


In some embodiments, the second reactant comprises plasma generated in flowing H2 and Ar gas. In some embodiments H2 and Ar containing gas is provided to the reaction chamber before the plasma is ignited. In some embodiments the H2 and Ar gas is provided to the reaction chamber continuously and hydrogen and argon containing plasma is created or supplied when needed. In some embodiments also in addition to H2 and/or Ar, N2 is provided to the reaction chamber before the plasma is ignited. In some embodiments also only N2 is provided to the reaction chamber before the plasma is ignited.


In some embodiments the second reactant may be generated from a gas containing more than about 1 atomic % (at %) hydrogen, more than about 10 atomic % (at %) hydrogen more than about 25 atomic % (at %) hydrogen, more than about 25 atomic % (at %) hydrogen, more than about 50 at % hydrogen, more than about 75 at % hydrogen, more than about 85 at % hydrogen, more than about 90 at % hydrogen, more than about 95 at % hydrogen, more than about 96 at %, 97 at %, 98 at %, or more than about 99 at % hydrogen.


In some embodiments the second reactant may be generated from a gas containing more than about 1 atomic % (at %) argon, more than about 10 atomic % (at %) argon more than about 25 atomic % (at %) argon, more than about 25 atomic % (at %) argon, more than about 50 at % argon, more than about 75 at % argon, more than about 85 at % argon, more than about 90 at % argon, more than about 95 at % argon, more than about 96 at %, 97 at %, 98 at %, or more than about 99 at % argon.


Typically, the second reactant, for example hydrogen and argon plasma, contacts the substrate for about 0.1 seconds to about 10 seconds. In some embodiments the second reactant, such as hydrogen and argon plasma, contacts the substrate for about 0.1 seconds to about 10 seconds, 0.5 seconds to about 5 seconds or 0.5 seconds to about 2.0 seconds. However, depending on the reactor type, substrate type and its surface area, the second reactant contacting time may be even higher than about 10 seconds. In some embodiments, contacting times can be on the order of minutes. The optimum contacting time can be readily determined by the skilled artisan based on the particular circumstances.


In some embodiments the second reactant is provided in two or more distinct pulses, without introducing another reactant in between any of the two or more pulses. For example, in some embodiments a plasma, such as a hydrogen and argon plasma, is provided in two or more sequential pulses, without introducing a Si-precursor in between the sequential pulses. In some embodiments two or more sequential plasma pulses are generated by providing a plasma discharge for a first period of time, extinguishing the plasma discharge for a second period of time, for example from about 0.1 seconds to about 10 seconds, from about 0.5 seconds to about 5 seconds or about 1.0 seconds to about 4.0 seconds, and exciting it again for a third period of time before introduction of another precursor or a removal step, such as before the Si-precursor or a purge step. Additional pulses of plasma can be introduced in the same way. In some embodiments a plasma is ignited for an equivalent period of time in each of the pulses.


In some embodiments a gas that is used to form a plasma does not comprise oxygen. In some embodiments the adsorbed silicon precursor is not contacted with a reactive species generated by a plasma from oxygen. In some embodiments a second reactant comprising reactive species is generated in a gas that does not comprise oxygen. For example, in some embodiments a second reactant may comprise a plasma generated in a gas that does not comprise oxygen. In some embodiments the second reactant may be generated in a gas comprising less than about 50 atomic % (at %) oxygen, less than about 30 at % oxygen, less than about 10 at % oxygen, less than about 5 at % oxygen, less than about 1 at % oxygen, less than about 0.1 at % oxygen, less than about 0.01 at % oxygen, or less than about 0.001 at % oxygen.


In some embodiments a gas that is used to form a plasma does not comprise nitrogen. In some embodiments the adsorbed silicon precursor is not contacted with a reactive species generated by a plasma from nitrogen. In some embodiments a second reactant comprising reactive species is generated in a gas that does not comprise nitrogen. For example, in some embodiments a second reactant may comprise a plasma generated in a gas that does not comprise nitrogen. However, in some embodiments a gas that is used to form a plasma may comprise nitrogen. In some other embodiments the second reactant may comprise nitrogen radicals, nitrogen atoms and/or nitrogen plasma. In some embodiments the second reactant may be generated in a gas comprising less than about 25 atomic % (at %) nitrogen, less than about 20 at % nitrogen, less than about 15 at % nitrogen, less than about 10 at % nitrogen, less than about 5 at % nitrogen, less than about 1 at % nitrogen, less than about 0.1 at % nitrogen, less than about 0.01 at % nitrogen, or less than about 0.001 at % nitrogen. In some embodiments the second reactant may be generated in a gas comprising hydrogen and nitrogen, for example the second reactant may comprise H2 and N2. In some embodiments the second reactant may be generated in a gas having a ratio of N2 to H2 (N2/H2) of less than about 20%, less than about 10%, or less than about 5%.


In some embodiments a gas that is used to form a plasma does not comprise nitrogen or oxygen. In some embodiments the adsorbed silicon precursor is not contacted with a reactive species generated by a plasma from nitrogen or oxygen. In some embodiments a second reactant comprising reactive species is generated in a gas that does not comprise nitrogen or oxygen. For example, in some embodiments a second reactant may comprise a plasma generated in a gas that does not comprise nitrogen or oxygen.


In some embodiments the plasma is anisotropic. In some embodiments the plasma power, composition and reaction parameters are tuned such that the SiOC is deposited selectively on the dielectric surface relative to the metal surface and has desired etch rate characteristics. In particular, in some embodiments SiOC deposited on a dielectric surface has different characteristics from SiOC that may be deposited on metal surfaces. For example, SiOC that is selectively deposited on a dielectric surface may have higher density and lower etch rates than SiOC that is deposited on a metal surface during the same deposition process. In some embodiments horizontal or top surfaces of a deposited SiOC film have a lower etch rate, such as a lower wet etch rate in dilute HF, than the non-horizontal or sidewall surface of the same SiOC film. As discussed herein, these differential properties can be used to preferentially etch SiOC from the metal surface and create a desired deposition profile.


In some embodiments, the second reactant may be free or substantially free of oxygen-containing species (e.g., oxygen ions, radicals, atomic oxygen). In some embodiments, the second reactant does not comprise any species generated from nitrogen.


In some embodiments the plasma power, plasma composition and/or the temperature of the susceptor is tuned to achieve desired selectivity and desired etch rate characteristics in the deposited SiOC film.


In some embodiments the plasma power is tuned to achieve selective deposition of SiOC on a dielectric surface relative to a metal surface. In some embodiments the plasma power is tuned such that SiOC deposited on the metal surface has a higher etch rate than the SiOC deposited on the dielectric surface. In some embodiments, a plasma power used for generating a second reactant plasma can be about 5 Watts (W) to about 5000 W, 10 W to about 2,000 W, about 50 W to about 1000 W, about 100 W to about 1000 W or about 100 W to about 500 W. In some embodiments, a plasma power can be about 100 W to about 300 W.


In some embodiments the temperature of the susceptor supporting the substrate may be selected to achieve the desired selective deposition of SiOC on a dielectric surface relative to a metal surface and to achieve the desired etch rate characteristics in the SiOC on the different surfaces. In some embodiments the temperature is selected such that SiOC that is formed on a dielectric surface has a lower etch rate than SiOC that is formed on a metal surface by the same process. In some embodiments the susceptor temperature is from about 20 to about 700 C, from about 50 to about 600 C, from about 100 to about 550 C or from about 200 to 500 C.


In some embodiments the amount of each of H2 and Ar in the gas in which the plasma is generated is selected to achieve the desired selectivity and etch rate characteristics in the SiOC. In some embodiments the H/R ratio is less than 1:1 but not 0:1, less than about 1:2 but not 0:1, less than about 1:5 but not 0:1, less than about 1:10 but not 0:1 or less than about 1:20, but not 0:1.


In some embodiments excess second reactant and any reaction byproducts are removed 140 from the proximity of the substrate surface. The second reactant and any reaction byproducts may be removed from proximity with the substrate surface with the aid of a purge gas and/or vacuum. In some embodiments, excess reactant and/or reactant byproducts are removed from the reaction space by purging, for example with an inert gas. The removal may, in some embodiments, be carried out for about 0.1 seconds to about 10 seconds, about 0.1 seconds to about 4 seconds or about 0.1 seconds to about 0.5 seconds. In some embodiments the substrate may be moved in order to facilitate removal of the reactant and/or reactant byproducts, for example by moving the substrate to a different reaction chamber or a separate portion of the reaction chamber.


The first and second phases (110, 120, 130, 140), the SiOC deposition portion of the deposition cycle, may be repeated 180 one, two or more times consecutively prior to beginning the third (150, 160) and/or fourth (170) phase of the entire SiOC formation cycle 100. For example, the first and second phases may be repeated until a SiOC layer of a desired thickness has been formed on the dielectric surface.


While the SiOC deposition is selective on the dielectric or low-k surface relative to the metal surface, in some embodiments overhangs of SiOC may be present on the metal surface. This is illustrated in FIG. 2A, which shows the deposition of SiOC on a low-k material relative to copper, with the formation of overhangs of SiOC on the copper surface. The overhangs may be reduced or eliminated by including the third and/or fourth phases, as described below, in one or more deposition cycles.


Although referred to as the first phase and second phase, in some embodiments for forming a SiOC film, one or more SiOC deposition cycles 100 begins with the first phase by contacting the substrate with the silicon precursor, followed by the second precursor. In other embodiments one or more SiOC deposition cycles 100 may begin with the second phase by contacting the substrate with the second reactant, followed by the silicon precursor.


In a third phase (150, 160), the substrate may be contacted 150 with a reactant comprising a plasma. For example, the substrate may be contacted with a plasma generated in a noble gas, such as Ar plasma. In some embodiments the plasma is directional, and preferentially attacks SiOC that has been formed on the metal surface relative to SiOC that has been formed on the dielectric surface. This is illustrated in the right-hand panel of FIG. 2A, where the arrows represent the plasma treatment of the surfaces.


Plasma power and duration can be tuned to increase the preferential targeting of the SiOC overhangs on the metal surface. In some embodiments the third phase preferentially removes SiOC from the metal surface relative to the dielectric surface.


In some embodiments excess third reactant and reaction byproducts may be removed from the proximity of the substrate surface 160, such as with the aid of a purge gas and/or vacuum, or by moving the substrate to a different reaction chamber or a separate portion of the reaction chamber. The removal may, in some embodiments, be carried out for about 0.1 seconds to about 10 seconds, about 0.1 seconds to about 4 seconds or about 0.1 seconds to about 0.5 seconds. The third phase may be repeated 190 two or more times in each complete deposition cycle 100. In some embodiments the third phase may be included in each deposition cycle. In some embodiments the third phase is not included in every deposition cycle but is provided one or more times in the deposition process.


An optional fourth phase (170) may be included to further etch SiOC from the metal surface, for example if it is not removed to a desired extent in the third phase. In the fourth phase, the substrate is contacted with an etchant 170 to preferentially remove SiOC from over the metal surface relative to the dielectric surface. This is illustrated in FIG. 2B, which shows the preferential etching of the overhangs relative to the top surface of the deposited SiOC film. In some embodiments the etchant is directional. The fourth phase may be included in the SiOC formation cycle 100, for example, if the plasma treatment in the third phase is not sufficient to achieve the desired level of removal of SiOC overhangs from the metal surface. The fourth phase may be included in every cycle 100. In some embodiments the fourth phase is not included in every cycle 100 but is provided one or more times in the SiOC deposition process. For example, the fourth phase may be included after a certain number of repetitions of a cycle that includes only the first three phases, such as after every one, two, three, four, five or more such deposition cycles.


In some embodiments the etchant may be a reactive gas, such as a reactive halide gas such as CF4, SF6, HF, Cl2 or NF3. In some embodiments a wet etch is used to preferentially remove the SiOC overhangs from the metal surface. For example, etching in dilute HF may be used to preferentially remove SiOC from the metal surface. The etchant preferentially removes SiOC from the metal surface, leaving a desired SiOC structure on the dielectric surface, for example as illustrated in FIG. 2B.


In some embodiments, the etchant may comprise, for example, a plasma generated in a halide gas such as CF4, SF6, HF, Cl2 or NF3. The plasma power and time may be selected to achieve the desired amount of etching. The etching plasma may be directional. The etching plasma preferentially attacks the SiOC on the metal surface relative to the bulk portion of the SiOC deposited on the dielectric surface, leaving a desired structure as illustrated in FIG. 2B.


Additional phases may be added and phases may be removed as desired to adjust the composition and selectivity of the SiOC film.


The entire SiOC formation cycle may be repeated 200 two, three or more times to achieve a desired amount of SiOC on the metal surface relative to the dielectric surface.


In some embodiments, two of the phases may overlap, or be combined. For example, the silicon precursor and the second reactant may contact the substrate simultaneously in phases that partially or completely overlap. In addition, the order of the phases may be varied, and an ALD cycle may begin with any one of the phases. That is, unless specified otherwise, the reactants can contact the substrate in any order, and the process may begin with any of the reactants.


A complete PEALD cycle according to some embodiments may be written as:


[Si reactant+Ar/H2 plasma]×N+[Ar plasma]×M+[Etchant]×Y, where N, M and Y are integers that can be selected independently. In some embodiments any of N, M and Y may be zero in one or more deposition cycles in a complete deposition process.


The selective SiOC deposition takes place on a substrate in a reaction space or reactor. In some embodiments each of the four phases takes place in the same reaction space and/or reactor. The reactor may be part of a cluster tool in which a variety of different processes in the formation of an integrated circuit are carried out. In some embodiments a flow-type reactor is utilized. In some embodiments a shower head type of reactor is utilized. In some embodiments, a space-divided reactor is utilized. In some embodiments a high-volume manufacturing-capable single wafer ALD reactor is used. In other embodiments a batch reactor comprising multiple substrates is used. For embodiments in which batch ALD reactors are used, the number of substrates may be in the range of 10 to 200, in the range of 50 to 150, or in the range of 100 to 130.


In some embodiments, if necessary, the exposed surfaces of the substrate can be pretreated to provide reactive sites to react with the first phase of the ALD process. In some embodiments a separate pretreatment step is not required. In some embodiments the substrate is pretreated to provide a desired surface termination on one or more surfaces. For example, the substrate may be treated to provide surface terminations on the dielectric surface to enhance selectivity. In some embodiments the substrate may be treated to provide a blocking or passivation layer on the metal surface to increase selectivity of the deposition on the dielectric surface.


As mentioned above, in some embodiments a gas is provided to the reaction chamber continuously during one or more phases of the deposition cycle, or during the entire deposition cycle, or even throughout the entire deposition process. Reactive species may be provided by generating a plasma in a gas, either in the reaction chamber or upstream of the reaction chamber. In some embodiments the gas does not comprise nitrogen. In some embodiments the gas may comprise a noble gas, such as argon or helium. In some embodiments the gas is argon. The flowing gas may also serve as a purge gas. For example, flowing argon may serve as a purge gas for a first silicon precursor and also serve as a source of reactive species second reactant and a third reactant (as a source of reactive species). In some embodiments, argon may serve as a purge gas for a first precursor and a source of excited species for converting the silicon precursor to the SiOC film and as the source of reactive species for a plasma treatment, such as in the second and third phases described above.


In some embodiments the deposition parameters, such as the precursor flow rate, contacting time, removal time, reactants themselves, plasma power, reaction chamber temperature and susceptor temperature may be selected in order to selectively form a SiOC film with the desired characteristics on a dielectric surface relative to a metal surface. In particular, one or more of these parameters may be selected to reduce, minimize or prevent deposition of SiOC on a metal surface of the substrate and/or to preferentially remove SiOC from the metal surface.


In some embodiments plasma, for example hydrogen and argon containing plasma, argon plasma, or etching plasma may be generated by applying RF power of from about 5 W to about 5000 W, 10 W to about 2000 W, from about 50 W to about 1000 W, or from about 200 W to about 800 W. In some embodiments the RF power density may be from about 0.02 W/cm2 to about 2.0 W/cm2, or from about 0.05 W/cm2 to about 1.5 W/cm2. The RF power may be applied to a reactant gas that flows during the plasma contacting time, that flows continuously through the reaction chamber, and/or that flows through a remote plasma generator. Thus, in some embodiments the plasma is generated in situ, while in other embodiments the plasma is generated remotely. In some embodiments a showerhead reactor is utilized and plasma is generated between a susceptor (on top of which the substrate is located) and a showerhead plate. In some embodiments the gap between the susceptor and showerhead plate is from about 0.1 cm to about 20 cm, from about 0.5 cm to about 5 cm, or from about 0.8 cm to about 3.0 cm.


According to some embodiments, the PEALD reactions may be performed at temperatures ranging from about 25° C. to about 700° C., from about 50° C. to about 600° C., from about 100° C. to about 450° C., or from about 200° C. to about 400° C. In some embodiments, the optimum reactor temperature may be limited by the maximum allowed thermal budget. Therefore, in some embodiments the reaction temperature is from about 100° C. to about 300° C. In some applications, the maximum temperature is around about 200° C., and, therefore the PEALD process is run at that reaction temperature. In some embodiments the susceptor temperature is the same as the reaction space temperature. In some embodiments the susceptor temperature is within 100 C or within 50 C of the reaction space temperature.


According to some embodiments of the present disclosure, the pressure of the reaction chamber during processing is maintained at from about 0.01 Torr to about 50 Torr, or from about 0.1 Torr to about 10 Torr. In some embodiments the pressure of the reaction chamber is greater than about 6 Torr, or about 20 Torr. In some embodiments, a SiOC deposition process can be performed at a pressure of about 20 Torr to about 500 Torr, about 20 Torr to about 50 Torr, or about 20 Torr to about 30 Torr.


In some embodiments a SiOC deposition process can comprise a plurality of deposition cycles, wherein at least one deposition cycle is performed in an elevated pressure regime. For example, a deposition cycle of a PEALD process may comprise alternately and sequentially contacting the substrate with a silicon precursor and a second reactant under the elevated pressure. In some embodiments, one or more deposition cycles of the PEALD process can be performed at a process pressure of about 6 Torr to about 500 Torr, about 6 Torr to about 50 Torr, or about 6 Torr to about 100 Torr. In some embodiments, the one or more deposition cycles can be performed at a process pressure of greater than about 20 Torr, including about 20 Torr to about 500 Torr, about 30 Torr to about 500 Torr, about 40 Torr to about 500 Torr, or about 50 Torr to about 500 Torr. In some embodiments, the one or more deposition cycles can be performed at a process pressure of about 20 Torr to about 30 Torr, about 20 Torr to about 100 Torr, about 30 Torr to about 100 Torr, about 40 Torr to about 100 Torr or about 50 Torr to about 100 Torr.


Referring to FIG. 4 and according to some embodiments a SiOC thin film is selectively deposited on a dielectric surface relative to a metal surface on a substrate in a reaction space by a PEALD process 300 comprising at least one cycle comprising:


contacting the substrate with a vapor phase silicon-containing precursor comprising MPTMS at step 310 such that silicon species adsorb onto the surface of the substrate;


removing excess silicon-containing precursor and reaction byproducts, if any, from the substrate surface at step 320;


contacting the substrate with a second reactant comprising H2 and Ar plasma at step 330, thereby converting the adsorbed silicon species into SiOC;


removing excess second reactant and reaction byproducts, if any, from the substrate surface at step 340; and


optionally repeating the contacting and removing steps at step 380 to form a SiOC thin film of a desired thickness and composition.


With continued reference to FIG. 4, the deposited SiOC is treated by contacting it with an Ar plasma at step 350. The Ar plasma may preferentially remove SiOC from the metal surface and may also make the SiOC over the metal surface more susceptible to a subsequent etching process. Excess Ar plasma and reaction byproducts, if any, may be removed from the substrate surface at step 360, for example by shutting off the plasma power and continuing to flow the Ar gas. The Ar plasma treatment may be repeated 390 one, two or more times in sequence. The plasma treatment step 350 may be included in each deposition cycle or may be provided intermittently in one or more deposition cycles.


In an optional etching process 370, the substrate may be contacted with an etchant such as a plasma generated in a halide gas. The etching process may remove additional SiOC preferentially from over the metal surface relative to the dielectric surface. The etching process 370 may be included in every deposition cycle 300 or intermittently in one or more deposition cycles.


The deposition cycle 300 is repeated 400 one, two or more times to selectively form a SiOC layer on the dielectric surface relative to the metal surface.


A number of different suitable Si precursors can be used in the presently disclosed PEALD processes. In some embodiments the suitable Si precursors may not comprise nitrogen. In some embodiments a suitable Si precursor may comprise MPTMS. In some embodiments the silicon precursor is a silicon precursor as described in U.S. patent application Ser. No. 15/588,026, filed May 5, 2017, which is hereby incorporated by reference in its entirety.


In some embodiments more than one silicon precursor may contact the substrate surface at the same time during an ALD phase. In some embodiments the silicon precursor may comprise more than one of the silicon precursors described herein. In some embodiments a first silicon precursor is used in a first ALD cycle and a second, different silicon precursor is used in a later ALD cycle. In some embodiments multiple silicon precursors may be used during a single ALD phase, for example in order to optimize certain properties of the deposited SiOC film. In some embodiments only one silicon precursor may contact the substrate during the deposition. In some embodiments there may only be one silicon precursor and one second reactant or composition of second reactants in the deposition process. In some embodiments there is no metal precursor in the deposition process. In some embodiments the silicon precursor is not used as a silylating agent. In some embodiments the deposition temperature and/or the duration of the silicon precursor contacting step are selected such that the silicon precursor does not decompose. In some embodiments the silicon precursor may decompose during the silicon precursor contacting step. In some embodiments the silicon precursor does not comprise a halogen, such as chlorine or fluorine.


In some embodiments, SiOC films are deposited to a thickness of from about 3 nm to about 50 nm, from about 5 nm to about 30 nm, from about 5 nm to about 20 nm. These thicknesses can be achieved in feature sizes (width) below about 100 nm, about 50 nm, below about 30 nm, below about 20 nm, and in some cases below about 15 nm. According to some embodiments, a SiOC film is deposited on a three-dimensional structure and the thickness at a sidewall may be slightly even more than 10 nm. In some embodiments SiOC films of greater than 50 nm can be deposited. In some embodiments SiOC films of greater than 100 nm can be deposited. In some embodiments, SiOC films are deposited to a thickness of more than about 1 nm, more than about 2 nm, more than about 3 nm, more than about 5 nm, more than about 10 nm.


According to some embodiments SiOC films with differential wet etch rates (WER) may be deposited. In some embodiments, SiOC formed according to one or more processes described herein can advantageously demonstrate a ratio of a WER of a substantially vertical region to a WER of a substantially horizontal region of about 1, for example in 0.5 wt % dHF. For example, a ratio of a wet etch rate of a SiOC thin film formed over substantially vertical surfaces (e.g., sidewall surfaces) to a wet etch rate of the SiOC thin film formed over substantially horizontal surfaces (e.g., top surfaces) of three-dimensional structures on a substrate surface can be the same or substantially the same. In some embodiments, the ratio can be about 4 to about 0.5, about 2 to about 0.75, about 1.25 to about 0.8, or about 1.1 to about 0.9. These ratios can be achieved in features with aspect ratios of about 2 or more, about 3 or more, about 5 or more or even about 8 or more.


In some embodiments the deposited SiOC thin film may contain up to about 40% carbon on an atomic basis (at %). In some embodiments a SiOC film may comprise carbon from about 0.1% to about 40%, from about 0.5% to about 40%, from about 1% to about 30%, or from about 5% to about 20% on an atomic basis. In some embodiments a SiOC film may comprise at least about 1%, about 10% or about 20% carbon on an atomic basis.


In some embodiments the deposited SiOC thin film may contain up to about 50% silicon on an atomic basis (at %). In some embodiments a SiOC film may comprise silicon from about 10% to about 50%, from about 15% to about 40%, or from about 20% to about 35% on an atomic basis. In some embodiments a SiOC film may comprise at least about 15%, about 20%, about 25% or about 30% silicon on an atomic basis.


In some embodiments the deposited SiOC thin film may contain up to about 40% sulfur on an atomic basis (at %). In some embodiments a SiOC film may comprise sulfur from about 0.01% to about 40%, from about 0.1% to about 40%, from about 0.5% to about 30%, or from about 1% to about 20% on an atomic basis. In some embodiments a SiOC film may comprise at least about 1%, about 10% or about 20% sulfur on an atomic basis. In some embodiments, the deposited SiOC films do not comprise an appreciable amount of nitrogen. However, in some embodiments a SiOC film comprising nitrogen is deposited. In some embodiments, the deposited SiOC films comprises less than about 30 at %, less than about 20 at %, less than about 15 at %, less than about 10 at %, less than about 5 at % of nitrogen, less than about 1 at % nitrogen, or less than about 0.1 at % nitrogen. In some embodiments the SiOC thin films do not comprise nitrogen.


All atomic percentage (i.e., at %) values provided herein exclude hydrogen for simplicity and because hydrogen is difficult to accurately analyze quantitatively, unless otherwise indicated. However, in some embodiments, if it is possible to analyze the hydrogen with reasonable accuracy, the hydrogen content of the films is less than about 20 at %, less than about 10 at % or less than about 5 at %. In some embodiments the deposited SiOC thin film may contain up to about 70% oxygen on an atomic basis (at %). In some embodiments a SiOC film may comprise oxygen from about 10% to about 70%, from about 15% to about 50%, or from about 20% to about 40% on an atomic basis. In some embodiments a SiOC film may comprise at least about 20%, about 40% or about 50% oxygen on an atomic basis.


In some embodiments a SiOC film may comprise one or more of SiN, SiO, SiC, SiCN, SiON, SiOSC, SiSC, SiOS, and/or SiOC. In some embodiments SiOC films deposited according to the disclosed methods do not comprise a laminate or nanolaminate structure.


In some embodiments a SiOC film is not a low-k film, for example a SiOC film is not a porous film. In some embodiments a SiOC is a continuous film. In some embodiments a SiOC film has a k-value that is less than about 10. In some embodiments a SiOC film has a k-value that is less than about 7. In some embodiments a SiOC film has a k-values from about 2 to about 10. In some embodiments a SiOC film has a k-value that is less than about 5.0, less than about 4.5, less than about 4.3, less than about 4.1. In some embodiments a SiOC film has a k-value that from about 3.0 to about 7, from about 3.0 to about 5.5, from about 3.0 to about 5.0, from about 3.5 to about 4.8, from about 3.5 to about 4.7. In some embodiments a SiOC film has a k-value that is more than the k-value of any low-k film. In some embodiments a SiOC film has a k-value that is more than pure SiO2.


It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. The described features, structures, characteristics and precursors can be combined in any suitable manner. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention. All modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims
  • 1. A method of selectively forming a silicon oxycarbide (SiOC) thin film on a dielectric surface of a substrate relative to a second surface of the substrate by a plasma enhanced atomic layer deposition (PEALD) process, wherein the PEALD process comprises at least one deposition cycle comprising: contacting the substrate with a first vapor phase silicon reactant;contacting the substrate with a second reactant comprising reactive species from a first plasma generated in a gas that does not comprise nitrogen;contacting the substrate with a second plasma generated in a noble gas; andcontacting the substrate with an etchant; andrepeating the contacting steps until a silicon oxycarbide film of a desired thickness has been formed on the dielectric surface.
  • 2. The method of claim 1, wherein the second surface is a metal surface.
  • 3. The method of claim 1, wherein the second surface comprises TiN, W, Co, Cu, or Ru.
  • 4. The method of claim 1, wherein the dielectric surface comprises SiO2 or SiN.
  • 5. The method of claim 1, wherein the noble gas is Ar gas.
  • 6. The method of claim 1, wherein the etchant comprises dilute HF.
  • 7. The method of claim 1, wherein the etchant comprises a plasma generated in a halide gas.
  • 8. The method of claim 1, wherein the etchant comprises a vapor phase halogen.
  • 9. The method of claim 1, wherein the reactive species are from a plasma generated in a gas that does not comprise nitrogen or oxygen.
  • 10. The method of claim 9, wherein the gas flows continuously throughout the deposition cycle.
  • 11. The method of claim 1, wherein the second reactant comprises reactive hydrogen species.
  • 12. The method of claim 11, wherein the reactive hydrogen species are from hydrogen and argon plasma.
  • 13. The method of claim 1, wherein the first plasma is generated in a gas comprising H2 and Ar.
  • 14. The method of claim 13, wherein the first plasma is generated by applying RF power of 5 Watts (W) to about 5000 W to the gas.
  • 15. The method of claim 1, wherein the first vapor phase silicon reactant comprises 3-methoxypropyltrimethoxysilane (MPTMS) or bis(triethoxysilyl)ethane (BTESE).
  • 16. The method of claim 1, wherein the first vapor phase silicon reactant does not comprise nitrogen.
  • 17. The method of claim 1, wherein the deposition cycle is carried out at a process temperature of about 100° C. to about 300° C.
  • 18. The method of claim 1, wherein contacting substrate with the first vapor phase silicon reactant and contacting the substrate with the second reactant are repeated two or more times in sequence prior to contacting the substrate with the plasma generated in a noble gas and the etchant.
  • 19. A method of selectively forming a silicon oxycarbide thin film on a dielectric surface of a substrate in a reaction space relative to a metal surface of the substrate comprising a plurality of deposition cycles, wherein at least one deposition cycle comprises: contacting the metal and dielectric surfaces of the substrate with a silicon precursor comprising 3-methoxypropyltrimethoxysilane (MPTMS);contacting the metal and dielectric surfaces of the substrate with a first plasma generated in a gas that does not comprise nitrogen;contacting the metal and dielectric surfaces of the substrate with a second plasma generated in argon gas; andcontacting the metal and dielectric surfaces of the substrate with an etchant.wherein the deposition cycle is repeated two or more times to form the SiOC thin film.
  • 20. The method of claim 19, wherein the first plasma is generated in a gas comprising H2 and Ar.
REFERENCE TO RELATED APPLICATION

The present application is a continuation of U.S. application Ser. No. 17/064,865, filed Oct. 7, 2020, which claims priority to U.S. Provisional Application No. 62/928,978, filed Oct. 31, 2019, which is incorporated by reference herein.

Provisional Applications (1)
Number Date Country
62928978 Oct 2019 US
Continuations (1)
Number Date Country
Parent 17064865 Oct 2020 US
Child 17463813 US