This invention relates generally to the deposition of silicon-containing materials in semiconductor processing, and relates more specifically to selective formation of silicon-containing materials on semiconductor windows.
In forming integrated circuits, epitaxial layers are often desired in selected locations, such as active area mesas among field isolation regions, or even more particularly over defined source and drain regions. While non-epitaxial (amorphous or polycrystalline) material can be selectively removed from over the field isolation regions after deposition, it is typically considered more efficient to simultaneously provide chemical vapor deposition (CVD) and etching chemicals, and to tune conditions to result in zero net deposition over insulative regions and net epitaxial deposition over exposed semiconductor windows. This process, known as selective epitaxial CVD, takes advantage of slow nucleation of typical semiconductor deposition processes on insulators like silicon oxide or silicon nitride. Such selective epitaxial CVD also takes advantage of the naturally greater susceptibility of amorphous and polycrystalline materials to etchants, as compared to the susceptibility of epitaxial layers.
Examples of the many situations in which selective epitaxial formation of semiconductor layers is desirable include a number of schemes for producing strain. The electrical properties of semiconductor materials such as silicon, germanium and silicon germanium alloys are influenced by the degree to which the materials are strained. For example, silicon exhibits enhanced electron mobility under tensile strain, and silicon germanium exhibits enhanced hole mobility under compressive strain. Methods of enhancing the performance of semiconductor materials are of considerable interest and have potential applications in a variety of semiconductor processing applications. Semiconductor processing is typically used in the fabrication of integrated circuits, which entails particularly stringent quality demands, as well as in a variety of other fields. For example, semiconductor processing techniques are also used in the fabrication of flat panel displays using a wide variety of technologies, as well as in the fabrication of microelectromechanical systems (“MEMS”).
A number of approaches for inducing strain in silicon- and germanium-containing materials have focused on exploiting the differences in the lattice constants between various crystalline materials. For example, the lattice constant for crystalline germanium is 5.65 Å, for crystalline silicon is 5.431 Å, and for diamond carbon is 3.567 Å. Heteroepitaxy involves depositing thin layers of a particular crystalline material onto a different crystalline material in such a way that the deposited layer adopts the lattice constant of the underlying single crystal material. For example, using this approach strained silicon germanium layers can be formed by heteroepitaxial deposition onto single crystal silicon substrates. Because the germanium atoms are slightly larger than the silicon atoms, but the deposited heteroepitaxial silicon germanium is constrained to the smaller lattice constant of the silicon beneath it, the silicon germanium is compressively strained to a degree that varies as a function of the germanium content. Typically, the band gap for the silicon germanium layer decreases monotonically from 1.12 eV for pure silicon to 0.67 eV for pure germanium as the germanium content in the silicon germanium increases. In another approach, tensile strain is provided in a thin single crystalline silicon layer by heteroepitaxially depositing the silicon layer onto a relaxed silicon germanium layer. In this example, the heteroepitaxially deposited silicon is strained because its lattice constant is constrained to the larger lattice constant of the relaxed silicon germanium beneath it. The tensile strained heteroepitaxial silicon typically exhibits increased electron mobility. In both of these approaches, the strain is developed at the substrate level before the device (for example, a transistor) is fabricated.
In these examples, strain is introduced into single crystalline silicon-containing materials by replacing silicon atoms with other atoms in the lattice structure. This technique is typically referred to as substitutional doping. For example, substitution of germanium atoms for some of the silicon atoms in the lattice structure of single crystalline silicon produces a compressive strain in the resulting substitutionally doped single crystalline silicon material because the germanium atoms are larger than the silicon atoms that they replace. It is possible to introduce a tensile strain into single crystalline silicon by substitutional doping with carbon, because carbon atoms are smaller than the silicon atoms that they replace. Additional details are provided in “Substitutional Carbon Incorporation and Electronic Characterization of Si1-yCy/Si and Si1-x-yGexCy/Si Heterojunctions” by Judy L. Hoyt, Chapter 3 in “Silicon-Germanium Carbon Alloy”, Taylor and Francis, pp. 59-89 (New York 2002), the disclosure of which is incorporated herein by reference, and is referred to herein as “the Hoyt article.” However, non-substitutional impurities will not induce strain.
Similarly, electrical dopants should also be substitutionally incorporated into epitaxial layers in order to be electrically active. Either the dopants are incorporated as deposited or they will need to be annealed to achieve the desired level of substitutionality and dopant activation. In situ doping of either impurities for tailored lattice constant or electrical dopants are often preferred over ex situ doping followed by annealing to incorporate the dopant into the lattice structure because the annealing consumes thermal budget. However, in practice in situ substitutional doping is complicated by the tendency for the dopant to incorporate non-substitutionally during deposition, for example, by incorporating interstitially in domains or clusters within the silicon, rather than by substituting for silicon atoms in the lattice structure. Non-substitutional doping complicates, for example, carbon doping of silicon, carbon doping of silicon germanium, and doping of silicon and silicon germanium with electrically active dopants. As illustrated in FIG. 3.10 at page 73 of the Hoyt article, prior deposition methods have been used to make crystalline silicon having an in situ doped substitutional carbon content of up to 2.3 atomic %, which corresponds to a lattice spacing of over 5.4 Å and a tensile stress of less than 1.0 GPa.
In accordance with another aspect of the invention a method is provided for selectively forming semiconductor material in semiconductor windows. The method includes providing a substrate within a chemical vapor deposition chamber, where the substrate comprises insulating surfaces and single-crystal semiconductor surfaces. Semiconductor material is blanket deposited over the insulating surfaces and the single-crystal semiconductor surfaces of the substrate, such that a thickness ratio of non-epitaxial semiconductor material over the insulating surfaces to epitaxial semiconductor material over the single-crystal semiconductor surfaces is less than about 1.6:1. Non-epitaxial semiconductor material is selectively removed from over the insulating surfaces, wherein blanket depositing and selectively removing are conducted within the chemical vapor deposition chamber.
In accordance with another aspect of the invention a method is provided for selectively forming epitaxial semiconductor material. Semiconductor material is blanket deposited to form epitaxial material over single-crystal semiconductor regions of a substrate and to form non-epitaxial material over insulating regions of the substrate. The non-epitaxial material is selectively removed from over the insulating regions by exposing the blanket deposited semiconductor material to an etch chemistry including a halide source and a germanium source. Blanket depositing and selectively removing are repeated at least once.
In accordance with another aspect of the invention a method is provided for forming silicon-containing material in selected locations on a substrate. The method includes providing a substrate having exposed windows of single-crystal semiconductor among field isolation regions. Silicon-containing material is blanket deposited over the windows of single-crystal material and the field isolation regions by flowing trisilane over the substrate. The silicon-containing material is selectively removed from over the field isolation regions. Blanket depositing and selectively removing are repeated in a plurality of cycles.
In accordance with another aspect of the invention a method is provided for selectively forming epitaxial semiconductor material. The method includes providing a substrate with insulating regions and semiconductor windows formed therein. Amorphous semiconductor material is deposited over the insulating regions and the epitaxial semiconductor material is deposited over the semiconductor windows. The amorphous semiconductor material is selectively etched from over the insulating regions while leaving at least some epitaxial semiconductor material in the semiconductor windows. Blanket depositing and selectively removing are repeated in a plurality of cycles.
Example embodiments of the methods and systems disclosed herein are illustrated in the accompanying drawings, which are for illustrative purposes only. The drawings comprise the following figures, in which like numerals indicate like parts.
Deposition techniques often attempt to tailor the amount or kind of deposition in different regions of a substrate. For example, U.S. Pat. No. 6,998,305 recognizes that simultaneous etch and deposition reactions are know for selective deposition on silicon without depositing on silicon oxide. To control deposition on a third type of surface, namely an exposed transistor gate, the '305 patent teaches cyclically alternating a selective deposition with an etch phase. However, the inventors have recognized that selective deposition chemistries sometimes have undesirably effects on the deposited layers. While the described embodiments involve the specific example of carbon-doped silicon for NMOS applications, the skilled artisan will appreciate that the methods described herein have application to a variety of semiconductor applications where selective formation of a layer is desired but etchants can interfere with desired properties of the deposited layer.
Deposition methods exist that are useful for making a variety of substitutionally doped single crystalline silicon-containing materials. For example, it is possible to perform in situ substitutional carbon doping of crystalline silicon by performing the deposition at a relatively high rate using trisilane (Si3H8) as a silicon source and a carbon-containing gas or vapor as a carbon source. Carbon-doped silicon-containing alloys have a complementary nature to silicon germanium systems. The degree of substitutional doping is 70% or greater, expressed as the weight percentage of substitutional carbon dopant based on the total amount of carbon dopant (substitutional and non-substitutional) in the silicon. Techniques for forming carbon-doped silicon-containing materials have overcome several challenges, including the large lattice mismatch between carbon and silicon, the low solubility of carbon in silicon, and the tendency of carbon-doped silicon to precipitate. Additional details relating to in situ substitutional carbon doping of crystalline silicon are provided in U.S. patent application Ser. No. 11/343,275 (filed 30 Jan. 2006).
The term “silicon-containing material” and similar terms are used herein to refer to a broad variety of silicon-containing materials including without limitation silicon (including crystalline silicon), carbon-doped silicon (Si:C), silicon germanium, and carbon-doped silicon germanium (SiGe:C). As used herein, “carbon-doped silicon”, “Si:C”, “silicon germanium”, “carbon-doped silicon germanium”, “SiGe:C” and similar terms refer to materials that contain the indicated chemical elements in various proportions and, optionally, minor amounts of other elements. For example, “silicon germanium” is a material that comprises silicon, germanium and, optionally, other elements, for example, dopants such as carbon and electrically active dopants. Terms such as “Si:C” and “SiGe:C” are not stoichiometric chemical formulas per se and thus are not limited to materials that contain particular ratios of the indicated elements. Furthermore, terms such as Si:C and SiGe:C are not intended to exclude the presence of other dopants, such that a phosphorous and carbon-doped silicon material is included within the term Si:C and the term Si:C:P. The percentage of a dopant (such as carbon, germanium or electrically active dopant) in a silicon-containing film is expressed herein in atomic percent on a whole film basis, unless otherwise stated.
It is possible to determine the amount of carbon substitutionally doped into a silicon-containing material by measuring the perpendicular lattice spacing of the doped silicon-containing material by x-ray diffraction, then applying Vegard's Law by performing a linear interpolation between single crystal silicon and single crystal carbon (diamond). For example, it is possible to determine the amount of carbon substitutionally doped into silicon by measuring the perpendicular lattice spacing of the doped silicon by x-ray diffraction, and then applying Vegard's law. Additional details on this technique are provided in the Hoyt article. It is possible to determine the total carbon content in the doped silicon by secondary ion mass spectrometry (“SIMS”). It is possible to determine the non-substitutional carbon content by subtracting the substitutional carbon content from the total carbon content. It is possible to determine the amount of other elements substitutionally doped into other silicon-containing materials in a similar manner.
“Substrate,” as that term is used herein, refers either to the workpiece upon which deposition is desired, or the surface exposed to one or more deposition gases. For example, in certain embodiments the substrate is a single crystal silicon wafer, a semiconductor-on-insulator (“SOI”) substrate, or an epitaxial silicon surface, a silicon germanium surface, or a III-V material deposited upon a wafer. Workpieces are not limited to wafers, but also include glass, plastic, or other substrates employed in semiconductor processing. As discussed in U.S. Pat. No. 6,900,115, the entire disclosure of which is hereby incorporated by reference herein, a “mixed substrate” is a substrate that has two or more different types of surfaces. For example, in certain applications a mixed substrate comprises a first surface having a first surface morphology and a second surface having a second surface morphology. In certain embodiments, carbon-doped silicon-containing layers are selectively formed over single crystal semiconductor materials while minimizing, and more preferably avoiding, deposition over adjacent dielectrics or insulators. Examples of dielectric materials include silicon dioxide (including low dielectric constant forms such as carbon-doped and fluorine-doped oxides of silicon), silicon nitride, metal oxide and metal silicate. The terms “epitaxial”, “epitaxially” “heteroepitaxial”, “heteroepitaxially” and similar terms are used herein to refer to the deposition of a crystalline silicon-containing material onto a crystalline substrate in such a way that the deposited layer adopts or follows the lattice constant of the substrate. Epitaxial deposition is generally considered to be heteroepitaxial when the composition of the deposited layer is different from that of the substrate.
Even if surfaces are made from the same elements, the surfaces are considered different if the morphologies (crystallinity) of the surfaces are different. The processes described herein are useful for depositing silicon-containing films on a variety of substrates, but are particularly useful for mixed substrates having mixed surface morphologies. Such a mixed substrate comprises a first surface having a first surface morphology and a second surface having a second surface morphology. In this context, “surface morphology” refers to the crystalline structure of the substrate surface. Amorphous and crystalline are examples of different morphologies. Polycrystalline morphology is a crystalline structure that consists of a disorderly arrangement of orderly crystals and thus has an intermediate degree of order. The atoms in a polycrystalline material are ordered within each of the crystals, but the crystals themselves lack long range order with respect to one another. Single crystal morphology is a crystalline structure that has a high degree of long range order. Epitaxial films are characterized by a crystal structure and orientation that is identical to the substrate upon which they are grown, typically single crystal. The atoms in these materials are arranged in a lattice-like structure that persists over relatively long distances (on an atomic scale). Amorphous morphology is a non-crystalline structure having a low degree of order because the atoms lack a definite periodic arrangement. Other morphologies include microcrystalline and mixtures of amorphous and crystalline material. “Non-epitaxial” thus encompasses amorphous, polycrystalline, microcrystalline and mixtures of the same. As used herein, “single-crystal” or “epitaxial” are used to describe a predominantly large crystal structure having a tolerable number of faults therein, as is commonly employed for transistor fabrication. The crystallinity of a layer generally falls along a continuum from amorphous to polycrystalline to single-crystal; a crystal structure is often considered single-crystal or epitaxial, despite low density faults. Specific examples of mixed substrates include without limitation single crystal/polycrystalline, single crystal/amorphous, epitaxial/polycrystalline, epitaxial/amorphous, single crystal/dielectric, epitaxial/dielectric, conductor/dielectric, and semiconductor/dielectric. The term “mixed substrate” includes substrates having more than two different types of surfaces. Methods described herein for depositing silicon-containing films onto mixed substrates having two types of surfaces are also applicable to mixed substrates having three or more different types of surfaces.
When grown into recessed source/drain areas, tensile strained carbon-doped silicon films (Si:C films) provide a tensile strained silicon channel with enhanced electron mobility, particularly beneficial for NMOS devices. This advantageously eliminates the need to provide a relaxed silicon germanium buffer layer to support the strained silicon layer. In such applications, electrically active dopants are advantageously incorporated by in situ doping using dopant sources or dopant precursors. High levels of electrically active substitutional doping using phosphorous also contribute to tensile stress. Preferred precursors for electrical dopants are dopant hydrides, including n-type dopant precursors such as phosphine, arsenic vapor, and arsine. Silylphosphines, for example (H3Si)3-xPRx, and silylarsines, for example, (H3Si)3-xAsRx, where x=0, 1 or 2 and Rx═H and/or deuterium (D), are alternative precursors for phosphorous and arsenic dopants. Phosphor and arsenic are particularly useful for doping source and drain areas of NMOS devices. SbH3 and trimethylindium are alternative sources of antimony and indium, respectively. Such dopant precursors are useful for the preparation of preferred films as described below, preferably boron-, phosphorous-, antimony-, indium-, and arsenic-doped silicon, Si:C, silicon germanium and SiGe:C films and alloys.
Selective Epitaxial Formation of Tensile Strained Si:C Films.
Techniques have now been developed for selectively forming a tensile strained Si:C film in exposed semiconductor windows, such as recessed source/drain regions of a mixed substrate. For example, it is possible to accomplish such selective formation by (a) blanket depositing a Si:C film over a mixed substrate using trisilane as a silicon precursor, and (b) selectively etching the resulting non-epitaxial layer that is formed over the insulator portion of the mixed substrate. Steps (a) and (b) are optionally repeated cyclically until a target epitaxial film thickness over the recessed source/drain regions is achieved.
It is possible to form recessed source/drain regions by dry etching with subsequent HF cleaning and in situ anneal. In embodiments wherein a dry etch is used, deposition of a selectively grown, thin (between approximately 1 nm and approximately 3 nm) silicon seed layer helps reduce etch damage. A seed layer also helps to cover damage caused by prior dopant implantation processes. In an example embodiment, such a seed layer might be selectively deposited using simultaneous provision of HCl and dichlorosilane at a deposition temperature between about 700° C. and about 800° C.
In accordance with preferred embodiments a cyclical blanket deposition and etch process is illustrated in the flowchart provided in
In particular,
As indicated by operational block 20 in
The regions of amorphous or polycrystalline deposition 120 and the sidewall epitaxial deposition 130 are then selectively etched in an operational block 30 (
This process is repeated until a target thickness of epitaxial Si:C film thickness is achieved over the recessed source/drain regions 114, as indicated by decisional block 40 (
The selective formation process may further include addition cycles of blanket deposition and selective etch back from over dielectric regions, but without carbon doping to form a capping layer. The capping layer can be with or without electrical dopants. For example, the portion of the elevated source/drain regions 125 of
In an example embodiment, the deposited Si:C film optionally includes an electrically active dopant, particularly one suitable for NMOS devices, such as phosphorous or arsenic, thereby allowing phosphorous-doped Si:C films or arsenic doped Si:C films to be deposited (Si:C:P or Si:C:As films, respectively). The Si:C film is preferably deposited with an amorphous-to-epitaxial growth rate ratio that is preferably between about 1.0:1 and about 1.6:1, more preferably between about 1.0:1 and about 1.3:1, and most preferably between about 1.0:1 and about 1.1:1, such that the film thickness over insulator and over the recessed source/drain regions is about equal. Manipulating the amorphous (or more generally non-epitaxial) to epitaxial growth rate ratio advantageously enables manipulation of the facet angle at the interface between the amorphous and crystalline Si:C after the subsequent etch process, and also minimizes etch duration for removal, relative to greater thicknesses over the insulators. Preferably the amorphous regions of the Si:C deposition have little or no crystallinity (i.e., are predominantly amorphous), thus facilitating the subsequent etch in such regions. Furthermore, minimizing the excess of non-epitaxial deposition by bringing the ratio of thickness close to 1:1 reduces the length of the etch phase needed to clear non-epitaxial deposition from the field regions (and optionally from the gate).
In a preferred embodiment, the Si:C film is selectively etched from the mixed substrate using an in situ chemical vapor etching technique. The chemical vapor etching technique is optionally performed simultaneously with a brief temperature spike. In one embodiment, the temperature spike is conducted using the process described in U.S. Patent Application Publication 2003/0036268 (filed 29 May 2002). As described therein, using a single wafer epitaxial deposition tool with radiant heating through cold quartz or otherwise transparent walls, a temperature spike can employ full power to the upper lamps for a short duration (for example, for about 12 to about 15 seconds) while decoupling the power ratio for the lower lamps. In this way, the wafer temperature can rapidly ramp up while the susceptor temperature lags significantly. The wafer temperature preferably increases from the loading temperature by between about 100° C. and about 400° C., and more preferably by between about 200° C. and about 350° C. Because of the short duration of the temperature spike and etch phase, the wafer is allowed to cool before the susceptor gets a chance to approach the peak temperature. In this way, it takes far less time for the wafer to cycle in temperature, as compared to simultaneously cycling the temperature of a more massive combination of wafer/susceptor together. An example reactor for use with this temperature spike technique is the EPSILON® series of single wafer epitaxial chemical vapor deposition chambers, which are commercially available from ASM America, Inc. (Phoenix, Ariz.).
However, in another embodiment, to aid in maintaining high concentrations of substitutional carbon and electrically active dopants, while at the same time minimizing temperature ramp/stabilization times, the etch temperature is preferably kept low. Using a low temperature for the etch also reduces the likelihood that electrically active dopant atoms are deactivated during the etch. For example, etching with Cl2 gas advantageously allows the etch temperature to be reduced, thus helping to maintain the substitutional carbon and electrically active dopants.
Low temperatures for the etch phase enables roughly matching deposition phase temperatures while taking advantage of the high dopant incorporation achieved at low temperatures. Etch rates can be enhanced to allow these lower temperatures without sacrificing throughput by including a germanium source (e.g. GeH4 GeCl4, metallorganic Ge precursors, solid source Ge) during the etch phase instead of flash ramping the temperature to improve throughput.
“Isothermal” cyclical blanket deposition and etching, as used herein, means deposition and etching within ±50° C. of one another, preferably within ±10° C., and most preferably setpoint temperature is within ±5° C. for both steps. Advantageously, isothermal processing improves throughput and minimizes time for temperature ramping and stabilization. Similarly, both blanket deposition and etching process are preferably “isobaric,” i.e., within ±50 Torr of one another, preferably within ±20 Torr. Isothermal and/or isobaric conditions facilitate better throughput for avoiding ramp and stabilization times.
As illustrated in
Using the parameters provided in Table A, it is possible to achieve net growth rates that are preferably between about 4 nm min−1 and about 11 nm min−1, and more preferably between about 8 nm min−1 and about 11 nm min−1, for epitaxial Si:C:P films that are selectively deposited in recessed source/drain regions. It is also possible to achieve thin Si:C:P films with substitutional carbon content up to 3.6% as determined by Vegard's Law, and with resistivities between about 0.4 mΩ cm and about 2.0 mΩ cm. By manipulating the deposition conditions, it is possible to obtain other film properties.
During the etch process disclosed herein, epitaxial Si:C is etched significantly slower than amorphous or polycrystalline Si:C in each etch phase (etch selectivity in the range of 10:1-30:1). Defective epitaxial material is also preferentially removed in the etch phases. In a preferred embodiment, the cyclical deposition and etch process conditions are tuned to reduce or eliminate net growth on the oxide while achieving net growth in each cycle in the epitaxial recessed source/drain regions. This cyclical process is distinguishable from conventional selective deposition processes in which deposition and etching reactions occur simultaneously.
Tables B and C below give two examples of deposition and etch durations and resultant thicknesses using a recipe similar to that of Table A. The recipes are differently tuned to modulate both deposition and etch rates by increasing the partial pressure of the Si3H8 and optimizing etchant partial pressures.
The process parameters provided in Table A indicate a Cl2/HCl etch chemistry. In a modified embodiment, between about 20 sccm and about 200 sccm of 10% GeH4 is included in the etch chemistry as an etch catalyst. In certain embodiments, inclusion of a germanium source (e.g., a germane such as GeH4, GeCl4, metallorganic Ge precursors, solid source Ge) in the etch chemistry advantageously enhances the etch rate and the etch selectivity. In addition, use of germanium as a catalyst also advantageously allows lower etch temperatures to be used, and allows a temperature spike during etch to be omitted, as noted above in discussion of isothermal processing. Additional information regarding diffusion of germanium in amorphous, polycrystalline and single crystalline silicon and the subsequent etching of Ge rich silicon materials is provided in the literature; see for example, Mitchell et al., “Germanium diffusion in polysilicon emitters of SiGe heterojunction bipolar transistors fabricated by germanium implantation”, J. of Appl. Phys, 92(11), pp. 6924-6926 (1 Dec. 2002), Wu et al., “Stability and mechanism of selective etching of ultrathin Ge films on the Si(100) surface,” Phys. Rev. B, 69 (2004), and Bogumilowicz et al., “Chemical vapour etching of Si, SiGe and Ge with HCl; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations upon chlorine adsorption,” Semicond. Sci. & Tech., no. 20, pp. 127-134, (2005).
The techniques disclosed herein for selective epitaxial deposition of Si:C films provide several advantages over conventional techniques. For example, cyclical removal of polycrystalline or amorphous Si:C from insulator regions helps to improve the interface between the amorphous Si:C and the epitaxial Si:C. In particular, the cyclical process allows epitaxial growth to occur in interface regions where non-epitaxial growth would otherwise occur. Furthermore, in embodiments wherein the temperature spike during etch is omitted, such that the etch cycle is conducted at a temperature that is equal to, or only slightly elevated from, the deposition cycle, lower temperatures lead to many advantages. Throughput is improved by minimizing temperature (and/or pressure) ramp and stabilization time. Deposition temperatures can still be low enough to achieve high (e.g., 1.0-3.6 at C %) substitutional carbon content, and a large portion of the substitutional carbon and electrically active dopants remain in place during the etch, thus resulting in high substitutional carbon and dopant concentrations in the resulting film.
Several features contribute to the high throughput. For example, use of trisilane has been found to improve deposition rates at very low temperatures, thus minimizing the duration of deposition phases without sacrificing, e.g., high substitutional dopant concentrations as deposited that result from lower temperatures and higher deposition rates. The sequences and choice of precursors also facilitates largely or even wholly amorphous deposition over amorphous insulating regions while also leading to relatively uniform thicknesses (thickness ratios of less than 1.6:1) over both single-crystal and amorphous regions, minimizing overall etch time during the etch phases.
While the foregoing detailed description discloses several embodiments of the present invention, it should be understood that this disclosure is illustrative only and is not limiting of the present invention. It should be appreciated that the specific configurations and operations disclosed can differ from those described above, and that the methods described herein can be used in contexts other than fabrication of semiconductor devices.
This application claims the priority benefit under 35 U.S.C. §120 to U.S. application Ser. No. 11/536,463 filed on Sep. 28, 2006 and under 35 U.S.C. §119(e) to U.S. provisional application No. 60/811,703, filed Jun. 7, 2006, the disclosures of which are hereby incorporated by reference. This application is also related to U.S. patent application Ser. No. 11/343,275 (filed 30 Jan. 2006), U.S. patent application Ser. No. 11/343,264 (filed 30 Jan. 2006), U.S. Patent Application Publication 2003/0036268 (filed 29 May 2002), and U.S. Pat. No. 6,998,305 (filed 23 Jan. 2004). The entire disclosure of all of these related applications is hereby incorporated by reference herein.
Number | Name | Date | Kind |
---|---|---|---|
4058430 | Suntola et al. | Nov 1977 | A |
4210925 | Morcom et al. | Jul 1980 | A |
4413022 | Suntola et al. | Nov 1983 | A |
4521952 | Riseman | Jun 1985 | A |
4578142 | Corboy, Jr. et al. | Mar 1986 | A |
4605947 | Price et al. | Aug 1986 | A |
4698316 | Corboy, Jr. et al. | Oct 1987 | A |
4704186 | Jastrzebski | Nov 1987 | A |
4710241 | Komatsu | Dec 1987 | A |
4728623 | Lu | Mar 1988 | A |
4735918 | Parsons | Apr 1988 | A |
4747367 | Posa | May 1988 | A |
4749440 | Blackwood et al. | Jun 1988 | A |
4749441 | Christenson | Jun 1988 | A |
4758531 | Beyer | Jul 1988 | A |
4761269 | Conger et al. | Aug 1988 | A |
4778775 | Tzeng | Oct 1988 | A |
4786615 | Liaw | Nov 1988 | A |
4793872 | Meunier | Dec 1988 | A |
4834809 | Kakihara | May 1989 | A |
4857479 | McLaughlin | Aug 1989 | A |
4870030 | Markunas et al. | Sep 1989 | A |
4873205 | Critchlow | Oct 1989 | A |
4891092 | Jastrzebski | Jan 1990 | A |
4897366 | Smeltzer | Jan 1990 | A |
4923826 | Jastrzebski | May 1990 | A |
4966861 | Mieno | Oct 1990 | A |
4981811 | Feygenson | Jan 1991 | A |
4994402 | Chiu | Feb 1991 | A |
5004705 | Blackstone | Apr 1991 | A |
5011789 | Burns | Apr 1991 | A |
5028973 | Bajor | Jul 1991 | A |
5032233 | Yu et al. | Jul 1991 | A |
5037775 | Reisman | Aug 1991 | A |
5043300 | Nulman | Aug 1991 | A |
5045494 | Choi | Sep 1991 | A |
5059544 | Burghartz | Oct 1991 | A |
5061644 | Yue | Oct 1991 | A |
5061655 | Ipposhi | Oct 1991 | A |
5071670 | Kelly | Dec 1991 | A |
5084406 | Rhodes et al. | Jan 1992 | A |
5094977 | Yu et al. | Mar 1992 | A |
5112439 | Reisman | May 1992 | A |
5129958 | Nagashima et al. | Jul 1992 | A |
5144376 | Kweon | Sep 1992 | A |
5146304 | Yue | Sep 1992 | A |
5147819 | Yu et al. | Sep 1992 | A |
5148604 | Bantien | Sep 1992 | A |
5158644 | Cheung et al. | Oct 1992 | A |
5164813 | Blackstone et al. | Nov 1992 | A |
5175121 | Choi et al. | Dec 1992 | A |
5182619 | Pfiester | Jan 1993 | A |
5187122 | Bonis | Feb 1993 | A |
5196360 | Doan et al. | Mar 1993 | A |
5201995 | Reisman et al. | Apr 1993 | A |
5211796 | Hansen | May 1993 | A |
5231056 | Sandhu | Jul 1993 | A |
5234857 | Kim et al. | Aug 1993 | A |
5236546 | Mizutani | Aug 1993 | A |
5236865 | Sandhu et al. | Aug 1993 | A |
5252841 | Wen et al. | Oct 1993 | A |
5269876 | Mizutani | Dec 1993 | A |
5278098 | Wei et al. | Jan 1994 | A |
5282926 | Trah et al. | Feb 1994 | A |
5285089 | Das | Feb 1994 | A |
5319220 | Suzuki et al. | Jun 1994 | A |
5323032 | Sato et al. | Jun 1994 | A |
5324679 | Kim et al. | Jun 1994 | A |
5326992 | Yoder | Jul 1994 | A |
5341016 | Prall et al. | Aug 1994 | A |
5356510 | Pribat et al. | Oct 1994 | A |
5373806 | Logar | Dec 1994 | A |
5378641 | Cheffings | Jan 1995 | A |
5378901 | Nii | Jan 1995 | A |
5380370 | Niino et al. | Jan 1995 | A |
5389575 | Chin et al. | Feb 1995 | A |
5403434 | Moslehi | Apr 1995 | A |
5403751 | Nishida et al. | Apr 1995 | A |
5416354 | Blackstone | May 1995 | A |
5421957 | Carlson et al. | Jun 1995 | A |
5422302 | Yonehara et al. | Jun 1995 | A |
5422502 | Kovacic | Jun 1995 | A |
5425842 | Zijlstra | Jun 1995 | A |
5470799 | Itoh et al. | Nov 1995 | A |
5480814 | Wuu et al. | Jan 1996 | A |
5496745 | Ryum et al. | Mar 1996 | A |
5508212 | Wang et al. | Apr 1996 | A |
5508536 | Twynam et al. | Apr 1996 | A |
5512772 | Maeda et al. | Apr 1996 | A |
5517943 | Takahashi | May 1996 | A |
5557117 | Matsuoka et al. | Sep 1996 | A |
5557118 | Hashimoto | Sep 1996 | A |
5563085 | Kohyama | Oct 1996 | A |
5591492 | Hirai et al. | Jan 1997 | A |
5609721 | Tsukune et al. | Mar 1997 | A |
5656519 | Mogami | Aug 1997 | A |
5656546 | Chen et al. | Aug 1997 | A |
5670404 | Dai | Sep 1997 | A |
5670801 | Nakano | Sep 1997 | A |
5674781 | Huang et al. | Oct 1997 | A |
5683922 | Jeng et al. | Nov 1997 | A |
5693147 | Ward et al. | Dec 1997 | A |
5711811 | Suntola et al. | Jan 1998 | A |
5729033 | Hafizi | Mar 1998 | A |
5756394 | Manning | May 1998 | A |
5766999 | Sato | Jun 1998 | A |
5769950 | Takasu et al. | Jun 1998 | A |
5783495 | Li et al. | Jul 1998 | A |
5798278 | Chan et al. | Aug 1998 | A |
5831335 | Miyamoto | Nov 1998 | A |
5856237 | Ku | Jan 1999 | A |
5859447 | Yang et al. | Jan 1999 | A |
5879459 | Gadgil et al. | Mar 1999 | A |
5888903 | O'Brien et al. | Mar 1999 | A |
5899752 | Hey et al. | May 1999 | A |
5904565 | Nguyen et al. | May 1999 | A |
5916365 | Sherman | Jun 1999 | A |
5926743 | Xi et al. | Jul 1999 | A |
5933761 | Lee | Aug 1999 | A |
5945350 | Violette et al. | Aug 1999 | A |
5967794 | Kodama | Oct 1999 | A |
5986287 | Eberl et al. | Nov 1999 | A |
5998305 | Holmer et al. | Dec 1999 | A |
6031255 | Delage et al. | Feb 2000 | A |
6037258 | Liu et al. | Mar 2000 | A |
6042654 | Comita et al. | Mar 2000 | A |
6043519 | Shealy et al. | Mar 2000 | A |
6048790 | Iacoponi et al. | Apr 2000 | A |
6049098 | Sato | Apr 2000 | A |
6057200 | Prall et al. | May 2000 | A |
6058945 | Fujiyama et al. | May 2000 | A |
6060397 | Seamons et al. | May 2000 | A |
6069068 | Rathore et al. | May 2000 | A |
6074478 | Oguro | Jun 2000 | A |
6077775 | Stumborg et al. | Jun 2000 | A |
6083818 | Stumborg et al. | Jul 2000 | A |
6093368 | Rafferty et al. | Jul 2000 | A |
6100184 | Zhao et al. | Aug 2000 | A |
6117761 | Manning | Sep 2000 | A |
6139700 | Kang et al. | Oct 2000 | A |
6146517 | Hoinkis | Nov 2000 | A |
6147405 | Hu | Nov 2000 | A |
6153010 | Kiyoku et al. | Nov 2000 | A |
6164295 | Ui et al. | Dec 2000 | A |
6181012 | Edelstein et al. | Jan 2001 | B1 |
6183565 | Granneman et al. | Feb 2001 | B1 |
6184128 | Wang et al. | Feb 2001 | B1 |
6188134 | Stumborg et al. | Feb 2001 | B1 |
6190453 | Boydston et al. | Feb 2001 | B1 |
6190976 | Shishiguchi et al. | Feb 2001 | B1 |
6200893 | Sneh | Mar 2001 | B1 |
6203613 | Gates et al. | Mar 2001 | B1 |
6207487 | Kim et al. | Mar 2001 | B1 |
6207567 | Wang et al. | Mar 2001 | B1 |
6221168 | Carter et al. | Apr 2001 | B1 |
6225213 | Urabe | May 2001 | B1 |
6225650 | Tadatomo et al. | May 2001 | B1 |
6235568 | Murthy et al. | May 2001 | B1 |
6270572 | Kim et al. | Aug 2001 | B1 |
6277735 | Matsubara | Aug 2001 | B1 |
6287965 | Kang et al. | Sep 2001 | B1 |
6291876 | Stumborg et al. | Sep 2001 | B1 |
6303523 | Cheung et al. | Oct 2001 | B2 |
6316795 | Croke, III | Nov 2001 | B1 |
6335251 | Miyano et al. | Jan 2002 | B2 |
6340619 | Ko | Jan 2002 | B1 |
6342448 | Lin et al. | Jan 2002 | B1 |
6343183 | Halpin et al. | Jan 2002 | B1 |
6345150 | Yoo | Feb 2002 | B1 |
6348096 | Sunakawa et al. | Feb 2002 | B1 |
6351039 | Jin et al. | Feb 2002 | B1 |
6358829 | Yoon et al. | Mar 2002 | B2 |
6365453 | DeBoer et al. | Apr 2002 | B1 |
6368954 | Lopatin et al. | Apr 2002 | B1 |
6372584 | Yu | Apr 2002 | B1 |
6376318 | Lee et al. | Apr 2002 | B1 |
6380065 | Komai et al. | Apr 2002 | B1 |
6391796 | Akiyama et al. | May 2002 | B1 |
6415198 | Nallan et al. | Jul 2002 | B1 |
6444495 | Leung et al. | Sep 2002 | B1 |
6454854 | Ose | Sep 2002 | B1 |
6455935 | Hu | Sep 2002 | B1 |
6462411 | Watanabe et al. | Oct 2002 | B1 |
6482733 | Raaijmakers et al. | Nov 2002 | B2 |
6486018 | Roberts et al. | Nov 2002 | B2 |
6524953 | Hu | Feb 2003 | B1 |
6555839 | Fitzgerald | Apr 2003 | B2 |
6555845 | Sunakawa et al. | Apr 2003 | B2 |
6566279 | Suemitsu et al. | May 2003 | B2 |
6583015 | Fitzgerald et al. | Jun 2003 | B2 |
6593191 | Fitzgerald | Jul 2003 | B2 |
6593211 | Sato | Jul 2003 | B2 |
6613695 | Pomarede et al. | Sep 2003 | B2 |
6614695 | Keays | Sep 2003 | B2 |
6657223 | Wang et al. | Dec 2003 | B1 |
6716713 | Todd | Apr 2004 | B2 |
6727169 | Raaijmakers et al. | Apr 2004 | B1 |
6743721 | Lur et al. | Jun 2004 | B2 |
6821825 | Todd et al. | Nov 2004 | B2 |
6881633 | Hokazono | Apr 2005 | B2 |
6900115 | Todd | May 2005 | B2 |
6958253 | Todd | Oct 2005 | B2 |
6962859 | Todd et al. | Nov 2005 | B2 |
6969875 | Fitzgerald | Nov 2005 | B2 |
6974730 | Diaz | Dec 2005 | B2 |
6998305 | Arena et al. | Feb 2006 | B2 |
7026219 | Pomarede et al. | Apr 2006 | B2 |
7108748 | Brabant et al. | Sep 2006 | B2 |
7153772 | Granneman et al. | Dec 2006 | B2 |
7186630 | Todd | Mar 2007 | B2 |
7312128 | Kim et al. | Dec 2007 | B2 |
7335959 | Curelio et al. | Feb 2008 | B2 |
7405131 | Chong et al. | Jul 2008 | B2 |
7732305 | Ye et al. | Jun 2010 | B2 |
7759199 | Thomas et al. | Jul 2010 | B2 |
7960256 | Ye et al. | Jun 2011 | B2 |
8367528 | Bauer et al. | Feb 2013 | B2 |
20010001742 | Huang et al. | May 2001 | A1 |
20010034123 | Jeon et al. | Oct 2001 | A1 |
20010046766 | Asakawa | Nov 2001 | A1 |
20020022347 | Park et al. | Feb 2002 | A1 |
20020023520 | Hu | Feb 2002 | A1 |
20020034864 | Mizushima et al. | Mar 2002 | A1 |
20020052124 | Raaijmakers et al. | May 2002 | A1 |
20020168868 | Todd | Nov 2002 | A1 |
20030036268 | Brabant et al. | Feb 2003 | A1 |
20030080361 | Murthy et al. | May 2003 | A1 |
20030082300 | Todd et al. | May 2003 | A1 |
20030230233 | Fitzgerald et al. | Dec 2003 | A1 |
20040171238 | Arena et al. | Sep 2004 | A1 |
20040185665 | Kishimoto et al. | Sep 2004 | A1 |
20040224089 | Singh et al. | Nov 2004 | A1 |
20040262694 | Chidambaram | Dec 2004 | A1 |
20050023520 | Lee et al. | Feb 2005 | A1 |
20050079692 | Samoilov et al. | Apr 2005 | A1 |
20050093021 | Ouyang et al. | May 2005 | A1 |
20050176220 | Kanemoto | Aug 2005 | A1 |
20050250298 | Bauer | Nov 2005 | A1 |
20060011984 | Currie | Jan 2006 | A1 |
20060014366 | Currie | Jan 2006 | A1 |
20060038243 | Ueno et al. | Feb 2006 | A1 |
20060057821 | Lee et al. | Mar 2006 | A1 |
20060115933 | Ye et al. | Jun 2006 | A1 |
20060115934 | Kim et al. | Jun 2006 | A1 |
20060131665 | Murthy et al. | Jun 2006 | A1 |
20060166414 | Carlson et al. | Jul 2006 | A1 |
20060169668 | Samoilov | Aug 2006 | A1 |
20060169669 | Zojaji et al. | Aug 2006 | A1 |
20060205194 | Bauer | Sep 2006 | A1 |
20060228842 | Zhang | Oct 2006 | A1 |
20060234504 | Bauer et al. | Oct 2006 | A1 |
20060289900 | Thirupapuliyur et al. | Dec 2006 | A1 |
20070006800 | Lee et al. | Jan 2007 | A1 |
20070048956 | Dip et al. | Mar 2007 | A1 |
20070287272 | Bauer et al. | Dec 2007 | A1 |
20090075029 | Thomas et al. | Mar 2009 | A1 |
20090075447 | Meunier-Beillard et al. | Mar 2009 | A1 |
20110117732 | Bauer et al. | May 2011 | A1 |
20110230036 | Ye et al. | Sep 2011 | A1 |
Number | Date | Country |
---|---|---|
19820147 | Jul 1999 | DE |
59004040 | Jan 1984 | JP |
H02-163942 | Dec 1988 | JP |
H04-260325 | Feb 1991 | JP |
H07-022338 | Jul 1993 | JP |
60-10673 | Jan 1994 | JP |
1997-251967 | Sep 1997 | JP |
1999-514154 | Jan 1998 | JP |
10256354 | Sep 1998 | JP |
2001-189451 | Dec 1999 | JP |
2000-208437 | Jul 2000 | JP |
2001-284468 | Oct 2001 | JP |
2005-340816 | May 2005 | JP |
2005-217391 | Aug 2005 | JP |
2009-538390 | Nov 2009 | JP |
1020050104228 | Nov 2005 | KR |
1020050104229 | Nov 2005 | KR |
WO 9617107 | Jun 1996 | WO |
WO 9941423 | Aug 1999 | WO |
WO 9962109 | Dec 1999 | WO |
WO 0011721 | Mar 2000 | WO |
WO 0013207 | Mar 2000 | WO |
WO 0015866 | Mar 2000 | WO |
WO 0015881 | Mar 2000 | WO |
WO 0016377 | Mar 2000 | WO |
WO 0022659 | Apr 2000 | WO |
WO 0055895 | Sep 2000 | WO |
WO 0075964 | Dec 2000 | WO |
WO 0079576 | Dec 2000 | WO |
WO 0115220 | Mar 2001 | WO |
WO 0136702 | May 2001 | WO |
WO 0145149 | Jun 2001 | WO |
WO 0150502 | Jul 2001 | WO |
WO 0166832 | Sep 2001 | WO |
WO 0178123 | Oct 2001 | WO |
WO 0178124 | Oct 2001 | WO |
WO 0199166 | Dec 2001 | WO |
WO 2005043591 | May 2005 | WO |
WO 2005071725 | Aug 2005 | WO |
WO 2006060339 | Jun 2006 | WO |
WO 2008063543 | May 2008 | WO |
Entry |
---|
Office Action dated Oct. 9, 2012 in Japanese Application No. 2009-514271. |
Abeles et al.; Amorphous Semiconductor Superlattices; Physical Review Letters; Nov. 21, 1983; pp. 2003-2006; vol. 51; No. 21. |
Antonell et al., “Carbon incorporation for strain compensation during solid phase epitaxial recrystallization of SiGe on Si at 500-600° C”, J. Appl. Phys. 79 (10), pp. 7646-7651 (1996). |
Aoyama et al, “Segregation of interface carbon during silicon epitaxial growth by UHV-CVD”, Journal of Crystal Growth, 157, pp. 323-326 (1995). |
Aoyama et al, “Si selective epitaxial growth using pulsed molecular flow method”, Thin Solid Films, 321, pp. 256-260 (1998). |
Bauer et al, “Si3H8 based epitaxy of biaxially stressed silicon films doped with carbon and arsenic for CMOS applications”, in Semiconductor Defect Engineering—Materials, Synthetic Structures and Devices, edited by S. Ashok et al, (Mater. Res. Soc. Symp. Proc. 864, Warrendale, PA, 2005), E4.30. |
Bauer et al, “Tensile strained selective silicon carbon alloys for recessed source drain areas of devices”, Meeting Abstract, Electrochem. Soc., 602, p. 1414 (2006). |
Bauer et al., “Time resolved reflectivity measurements of silicon solid phase epitaxial regrowth”, Thin Solid Films 364, pp. 228-232 (2000). |
Bedair; Selective area and sidewall growth by atomic layer epitaxy; Semicond Sci. Technol; 1993; 1052-1062; vol. 8. |
Berti et al, “Lattice parameter in Si1—yCy epilayers: Deviation from Vegard's rule”, Applied Physics Letters, 72(13), pp. 1602-1604 (Mar. 30, 1998). |
Bogumilowicz et al, “Chemical vapour etching of Si, SiGe and Ge with ; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations”, Semicond. Sci. Technol., 20, pp. 127-134 (2005). |
Celotti et al, “Lattice parameter study of silicon uniformly doped with boron and phosphorus”, Journal of Materials Science, 9(5), pp. 821-828 (May 1974). |
Chowdhury et al. “In-Situ Real-Time Mass Spectroscopic Sensing and Mass Balance Modeling of Selective Area Silicon PECVD”, AIP Conference Proceedings, American Institute of Physics, vol. 449, Mar. 23, 1998, pp. 363-367. |
Develyn et al, “Adsorption, desorption, and decomposition of and HBr on Ge(100)—Competitive pairing and near-first-order desorption-kinetics”, Journal of Chemical Physics, 101(3), pp. 2463-2475 (1994). |
Düscö et al.; Deposition of Tin Oxide into Porous Silicon by Atomic Layer Epitaxy; J. Electrochem. Soc.; Feb. 1996; pp. 683-687; vol. 143, No. 2. |
Eberl et al., “Structural properties of SiC and SiGeC alloy layers on Si”, Chapter 2.5 in “Properties of Silicon Germanium and SiGe:Carbon”, Institution of Electrical Engineers, pp. 75-77 (1999). |
Fazan et al.; A High-C Capacitor (20.4 Ff/μm2) with Ultrathin CVD—Ta2O5 Films Deposited on Rugged Poly-Si for High Density DRAMs; IEEE; 1992; pp. IDEM 92-263-IDEM 92-266. |
Feng et al., “Raman determination of layer stresses and strains for heterostructures and its application to the cubic SiC/Si system”, J. Appl. Phys. 64 (12), pp. 6827-6835 (1988). |
George et al.; Nucleation and Growth During Tungsten Atomic Layer Deposition on Oxide Surfaces; Mat. Res. Symp. Proc. vol. 672; Materials Research Society 2001; 07.7.1-07.7.7. |
Goesele et al, “Diffusion Engineering by Carbon in Silicon”, in Si Front-End Processing Physics and Technology of Dopant-Defect Interactions II, edited by A. Agarwal et al, (Mater. Res. Soc. Symp. Proc. 610, San Francisco CA, 2000), B7.1. |
Hartmann et al, “High C content Si/Si1—yCy heterostructures for n-type metal oxide semiconductor transistors”, Semicond. Sci. Technol., 19, pp. 593-601 (2004). |
Haukka et al.; Chemisorption of chromium acetylacetonate on porous high surface area silica; Applied Surface Science; 1994; pp. 220-227. |
Hiltunen et al.; Nitrides of Titanium, Niobium, Tantalum and Molybdenum Grown as Thin Films by the Atomic Layer Epitaxy Method; Thin Solid Films; 1988; pp. 149-154; vol. 166. |
Horiike et al.; Filling of Si Oxide into a Deep Trench using Digital CVD Method; Applied Surface Science; 1990; pp. 168-174; vol. 46. |
Hoyt, “Substitutional carbon incorporation and electronic characterization of Si1—yCy/Si and Si1-x—yGexCy/Si heterojunctions”, Chapter 3 in Silicon-Germanium Carbon Alloy, Taylor and Francis, pp. 5989 (New York 2002). |
International Search Report and Written Opinion of the International Searching Authority for International Application No. PCT/US2007/011464 mailed Mar. 12, 2007. |
Iyer et al, “Synthesis of Si1—yCy alloys by molecular beam epitaxy”, Applied Physics Letters, 60(3), pp. 356-358 (Jan. 20, 1992). |
Jeong S. Byun, Kwan G. Rha et al., “Epitaxial TiSi2 Growth on Si(100) From Reactive Sputtered TiNx and Subsequent Annealing”, Materials Research Soc. Proceedings, vol. 355, Pittsburgh, 1995, pp. 465-470. |
Jeong Soo Byun, “Epitaxial C49-TiSi2 Formation on (100)Si Substrate Using TiNx and Its Electrical Characteristics as a Shallow Contact Metallization”, J. Electrochem. Soc., vol. 143, No. 6, Jun. 1996, pp. 1984-1991. |
Jeong Soo Byun, Chang Reol Kim et al., “TiN/TiSi2 Formation Using TiNx Layer and Its Feasibilities in ULSI”, Jpn. J. Appl. Phys. vol. 35 (1995), pp. 982-986. |
Jeong Soo Byun, Hak Nam Kim et al., “Formation of a large grain sized TiN layer using TiNx, the epitaxial continuity at the Al/TiN interface . . . ”, J. Appl. Phys. 78(3), Aug. 1, 1995, pp. 1719-1724. |
Jeong Soo Byun, Jun Ki Kim et al., “W as a Bit Line Interconnection in Capacitor-Over-Bit-Line (COB) Structured Dynamic Random Access Memory (DRAM) and Feasible Diffusion Barrier Layer”, Jpn. J. Appl. Phys. vol. 35 (1996), pp. 1086-1089. |
Jin et al.; Porous Silica Xerogel Processing and Integration for ULSI Applications; Materials Research Society Symposium Proceedings; 1998; pp. 213-222; vol. 511. |
Jorke, “Segregation of Ge and dopant atoms during growth of SiGe layers”, Chapter 6.3 in “Properties of Silicon Germanium and SiGe:Carbon”, Institution of Electrical Engineers, pp. 287-301 (1999). |
Juppo et al.; Deposition of copper films by an alternate supply of CuCl and Zn; J. Vac. Sci. Technol.; 1997; pp. 2003; vol.-issue A 15(4). |
Kaizuka et al.; Conformal Chemical Vapor Deposition TiN(111) Film Formation as an Underlayer of Al for Highly Reliable Interconnects; Jpn. J. Appl. Phys.; 1994; pp. 470-474; vol. 33. |
Kelires, “Monte Carlo studies of ternary semiconductor alloys: application to the Si1—x—yGexCy system”, Physical Review B, 75, pp. 1114-1117 (Aug. 7, 1995). |
Kelires, “Short-range order, bulk moduli, and physical trends in c-Si1—xCx alloys”, Physical Review B, 55, pp. 8784-8787 (Apr. 1, 1997). |
Kikkawa et al.; A Quarter-Micrometer Interconnection Technology Using a TiN/Al—Si—Cu/TiN/Al—Si—Cu/TiN/Ti Multilayer Structure; IEEE Transactions on Electron Devices; Feb. 1993; pp. 296-302; vol. 40, No. 2. |
Kikkawa et al.; Al—Si—Cu/TiN multilayer interconnection and Al—Ge reflow sputtering technologies for quarter-micron devices; SPIE; 1992; pp. 54-64; vol. 1805. |
Kim et al.; Applicability of ALE Tin films as Cu/Si diffusion barriers; Thin Solid Films; 2000; pp. 276-283; 372(1). |
Kim et al.; Comparison of TiN and TiAlN as a Diffusion Barrier Deposited by Atomic Layer Deposition; Journal of the Korean Physical Society; 2002; pp. 176-179; 40(1). |
Kim et al., “Low temperature selective Si epitaxy by reduced pressure chemical vapor deposition introducing periodic deposition and etching cycles with SiH4, H2 and HCl”. Mat. Res. Soc. Symp. Proc. vol. 609 © 2000 Materials Research Society. pp. A8.2.1 to A8.2.6. |
Kim et al., “Low Temperature Selective Si Epitaxy by Reduced Chemical Vapor Deposition Introducing Periodic Deposition and Etching Cycles with SiH4, H2 and HCl”, Mat. Res. Soc. Symp. Proc., 2000, vol. 609. |
Klaus et al.; Atomic Layer Deposition of SiO2 Using Catalyzed and Uncatalyzed Self-Limiting Surface Reactions; Surface Review and Letters; 1999; pp. 435-448; vol. 6, Nos. 3 & 4. |
Klaus et al.; Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions; J. Electrochem Soc.; 2000; 1175-1181; 147(3). |
Klaus et al.; Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions; Applied Surface Science; 2000; pp. 479-491; vols. 162-163. |
Koo et al.; Study on the characteristics of Ti AlN thin film deposited by atomic layer deposition method; Journal of Vacuum Science & Technology, A: Vacuum Surfaces, and Films; 2001; 2931-2834; 19(6). |
Kouvetakis et al., “Synthesis and analysis of compounds and alloys in the GeC, SiC, and SiGeC systems”, Chapter 2 in Series—Optoelectronic properties of semiconductors and superlattices; v. 15 Silicon-germanium carbon alloy / edited by S.T. Pantelides and S. Zollner; pp. 19-58. |
Kukli et al.; Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films from Ta(OC2H5)5 and H2O; J. Electrochem. Soc.; May 1995; pp. 1670-1674; vol. 142, No. 5. |
Kuznetsov et al., “Continuity in development of ultra shallow junctions for 130-45 nm CMOS: the tool and annealing methods,” Abstract 11th IEEE, RTP 2003 Conference, Sep. 23-26, 2003, Charleston, USA. |
Lauwers, et al., “Low temperature spike anneal for Ni-silicide formation,” Microelectronic Engineering 76, 303-310 (MAM2004, Brussels, Belgium, Mar. 7-10, 2004). |
Leskelä et al.; Atomic Layer Epitaxy in Deposition of Various Oxide and Nitride Thin Films; Journal De Physique IV, Colloque C5, supplement au Journal de Physique II; Jun. 1995; pp. C5-937-C5-951; vol. 5. |
Lin et al, “Chlorine-induced Si surface segregation on the Ge-terminated Si/Ge(100) surface from core-level photoemission”, Physical Review B, 64, 233302 (2001). |
Lin at el, “Atomistics of Ge deposition on Si(100) by atomic layer epitaxy”, Physical Review Letters, 90(4), 046102 (Jan. 31, 2003). |
Lou, et al., “The Process Window of a-Si/Ti Bilayer Metallization for an Oxidation-Resistant and Self-Aligned TiSi2 Process,” IEEE Transactions on Electron Devices, vol. 39, No. 8, Aug. 1992, pp. 1835-1843. |
MacKnight et al., “RTP applications and technology options for the sub-45 nm node”, Proceedings, RTP2004 Conference (Portland, OR) (2004). |
Martens et al., “Study of Ni-Silicide Contacts to Si:C Source/Drain”, The Electrochemical Society Meeting, Oct. 30, 2006, Cancun, Mexico. |
Martensson et al.; Atomic layer epitaxy of copper an ab inition investigation of the CuCl/H2 process III. Reaction barriers; Appl. Surf. Sci.; 2000; 92-100; 157(1). |
Martensson et al.; Atomic Layer Epitaxy of Copper on Tantalum; Chemical Vapor Deposition; 1997; pp. 45-50; vol. 3, No. 1. |
Martensson et al.; Atomic Layer Epitaxy of Copper, Growth and Selectivity in the Cu(II)—2,2,6, 6-tetramethyl-3,5-heptanedionate/H2 Process; J. Electrochem. Soc.; Aug. 1998; pp. 2926-2931; vol. 145, No. 8. |
Martensson et al.; CU(THD)2 as Copper Source in Atomic Layer Epitaxy; Proc. Electrochem. Soc.; 1997; 1529-1536; 97-25. |
Martensson et al.; Use of Atomic Layer Epitaxy for Fabrication of Si/TiN/Cu Structures; J. Vac. Sci. Technol. B, Sep./Oct. 1999; pp. 2122-2128; vol. 17, No. 5. |
McVay et al, “The diffusion of germanium in silicon”, Journal of Applied Physics, 44(3), pp. 1409-1410 (Mar. 1973). |
McVay et al, “Diffusion of Ge in SiGe alloys”, Physical Review B, 9, 627-631 (Jan. 1974). |
Meléndez-Lira et al., “Substitutional carbon in Si1—yCy alloys as measured with infrared absorption and Raman spectroscopy”, J. Appl. Phys. 82, pp. 4246-4252 (1997). |
Min et al.; Atomic Layer Deposition of TiN Films by Alternate Supply of Tetrakis (ethylmethylamino)-Titanium and Ammonia; Japanese Journal of Applied Physics; 1998; pp. 4999-5004; vol. 37. |
Min et al.; Chemical Vapor Deposition of Ti—Si—N Films with Alternating Source Supply; Mat. Res. Soc. Symp. Proc.; 1999; pp. 207-210; vol. 564; Materials Research Society. |
Min et al.; Metal-Organic Atomic-Layer Deposition of Titanium-Silicon-Nitride Films; Applied Physics Letters; 1999; pp. 1521-1523; vol. 75, No. 11. |
Min; Atomic Layer Deposition of TiN Thin Films by Sequential Introduction of Ti Precursor and NH3; Materials Research Society Symposium Proceedings; 1998; pp. 337-343; vol. 514. |
Mitchell et al, “Germanium diffusion in polysilicon emitters of SiGe heterojunction bipolar transistors fabricated by germanium implantation”, Journal of Applied Physics, 92(11), pp. 6924-6926 (Dec. 1, 2002). |
Moller; Copper and Nickel Ultrathin Films on Metal-Oxide Crystal Surfaces Mater. Soc. Monogr.; 1994; 473-522; 81. |
Nakahata et al, “Low thermal budge surface cleaning after dry etching for selective silicon epitaxial growth”, Journal of Crystal Growth, 226, pp. 443-450 (2001). |
Nakahata et al, “Formation of selective epitaxially grown silicon with a flat edge by ultra-high vacuum chemical vapor deposition”, Journal of Crystal Growth, 233, pp. 82-87 (2001). |
Nakahata et al, “Optimization of process conditions of selective epitaxial growth for elevated source/drain CMOS transistor”, Journal of Crystal Growth, 243, pp. 87-93 (2002). |
Nakahata et al, “Low thermal budget selective epitaxial growth for formation of elevated source/drain MOS transistors”, Journal of Crystal Growth, 264, pp. 79-85 (2004). |
Niinistö et al.; Synthesis of oxide thin films and overlayers by atomic layer epitaxy for advanced applications; Materials Science and Engineering; 1996; pp. 23-29; vol. B41. |
O'Neil et al., “Optimization of process conditions for selective silicon epitaxy using disilane, hydrogen, and chlorine”, J. Electrochem. Soc. 144 (9), pp. 3309-3315 (1997). |
Oehme et al., “A novel measurement method of segregating adlayers in MBE”, Thin Solid Films 369, pp. 138-142 (2000). |
Oehme et al, “Carbon segregation in silicon”, Thin Solid Films, 380(1-2), pp. 75-77 (Dec. 22, 2000). |
Osten et al, “Substitutional versus interstitial carbon incorporation during pseudomorphic growth of Si1—yCy on Si(001)”, Journal of Applied Physics, 80(12), pp. 6711-6715 (Dec. 15, 1996). |
Osten et al, “Substitutional carbon incorporation in epitaxial Si1—yCy alloys on Si(001) grown by molecular beam epitaxy”, Applied Physics Letters, 74(6), pp. 836-838 (Feb. 8, 1999). |
Ott et al.; Modification of Porous Alumina membranes Using Al2O3 Atomic Layer Controlled Deposition; Chem. Mater.; 1997; pp. 707-714; vol. 9. |
Pages, et al., “The effect of ramp rate—short process time and partial reactions on cobalt and nickel silicide formation,” Proceedings 205th ECS Meeting, May 9-13, 2004, San Antonio TX, USA, p. 174-182. |
PCT Search Report, PCT Application PCT/US2006/003333; Jun. 30, 2006. |
PCT Search Report, PCT Application PCT/US2006/003465; Jul. 7, 2006. |
Ritala et al.; Atomic Layer Epitaxy Growth of TiN Thin Films from Tila and NH3; J. Electrochem. Soc.; Aug. 1998; pp. 2914-2920; vol. 145; No. 8. |
Ritala et al.; Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Filims by Atomic Layer Deposition; Chem. Mater.; 1999; pp. 1712-1718; vol. 11. |
Ritala et al.; Effects of intermediate zinc pulses on properties of TiN and NbN films deposited by atomic layer epitaxy; Appl. Surf. Sci.; 1997; 199-212; 120. |
Ritala et al.; Perfectly Conformal TiN and Al2O3 Films Deposited by Atomic Layer Deposition; Chem Vap. Deposition; 1999; pp. 7-9; vol. 5, No. 1. |
Rossnagel et al.; Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusin barriers; J. Vac. Sci. Technol.; 2000; 2016-2020; 18(4). |
Ryan et al.; Material Property Characterization and Integration Issues for Mesoporous Silica; IEEE, 1999, pp. IITC 99-187-IITC 99-189. |
Sakaue et al.; Digital Chemical Vapor Deposition of SiO2 Using a Repetitive Reaction of Triethylsilane/Hydrogen and Oxidation; Japanese Journal of Applied Physics; Jan. 1990; pp. L124-L127; vol. 30, No. 1B. |
Singer; Atomic Layer Deposition Targets Thin Films; Semiconductor International; Sep. 1999; pp. 40. |
Smith et al., “Plasma Enhanced Selective Area Micocrystalline Silicon Deposition on Hydrogenated Amorphous Silicon: Surface Modification for Controlled Nucleation”, Journal of Vacuum Science and Technology, American Institute of Physics, vol. 16, No. 3, May 1998, pp. 1316-1320. |
Sneh et al.; Atomic layer growth of SiO2 on Si(100) using SiCl4 and H2O in a binary reaction sequence; Surface Science; 1995; pp. 135-152; vol. 334. |
Solanki et al.; Atomic Layer Deposition of Copper Seed Layers; Electrochem. and Solid State Lett.; 2000; 479-480; 3(10). |
Soman et al, “Selective area chemical vapor deposition of Si1—xGex thin film alloys by the alternating cyclic method: Experimental data: II. Morphology and composition as a function of deposition parameters”, Journal of the Electrochemical Society, 147(5), pp. 1854-1858 (May 2000). |
Soman et al, “Selective area chemical vapor deposition of Si1—xGex thin film alloys by the alternating cyclic method: A thermodynamic analysis: II. The system Si—Ge——H—Ar”, Journal of the Electrochemical Society, 147(11), pp. 4342-4344 (Nov. 2000). |
Sakurai et al, “Adsorption, diffusion and desorption of atoms on Si(111) surfaces”, Journal of Crystal Growth, 237-239, pp. 212-216 (2002). |
Strane et al., “Carbon incorporation into Si at high concentrations by ion implantation and solid phase epitaxy”, J. Appl. Phys. 79 (2), pp. 637-646 (1996). |
Strane et al., “Precipitation and relaxation in strained Si1—yCy/Si heterostructures”, J. Appl. Phys. 76(6), pp. 3656-3668 (1994). |
Tiitta et al.; Preparation and Characterization of Phosphorus-Doped Aluminum Oxide Thin Films; Materials Research Bulletin; 1998; pp. 1315-1323; vol. 33, No. 9. |
U.S. Department of Commerce National Technical Information Service; Ceramic Coatings on Metals Using Atomic Layer Controlled Chemical Vapor Deposition (Assert-96); Feb. 16, 2000; Colorado University at Boulder. |
Utriainen et al.; Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(ACAC)2 (M=Ni,Cu,Pt) precursors; Appl. Surf. Sci.; 2000; 151-158; 157(3). |
Van Houtum, H. et al., “TiSi2 strap formation by Ti-amorphous-Si reaction,” J. Vac. Sci. Technol. B 6(6), Nov./Dec. 1988, pp. 1734-1739. |
Van Zant, “Microchip Fabrication”, 4th Ed., McGraw Hill (New York, NY), pp. 364-365 (2000). |
Violette et al., “On the role of chlorine in selective silicon epitaxy by chemical vapor deposition”, J. Electrochem. Soc. 143 (10), pp. 3290-3296 (1996). |
Wang; Spin on Dielectric Films—A General Overview; 1998 5th International Conference on Solid-State and Integrated Circuit Technology Proceedings; Oct. 21-23, 1998; p. 961; Beijing, China. |
Windl et al., “Theory of strain and electronic structure of Si1—yCy and Si1—x—yGexCy alloys”, Phys. Rev. B57 (4), pp. 2431-2442 (1998). |
Wise et al.; Diethyldiethoxysilane as a New Precursor for SiO2 Growth on Silicon; Mat. Res. Soc. Symp. Proc.; 1994; pp. 37-43; vol. 334. |
Wolansky et al, “Low temperature clean for Si/SiGe epitaxy for CMOS integration of heterojunction bipolar transistors”, Proceedings of the 8th International Symposium on Silicon Materials Science and Technology 1, pp. 812-821 (1998). |
Wolf et al.; Process and Equipment Simulation of Copper Chemical Vapor Deposition Using Cu(hfac)vtms; Microelectronic Engineering; 1999; 15-27; 45. |
Wolf et al, “Silicon processing for the VLSI era vol. 1: Process technology”, Lattice Press, pp. 140-142 and 155-156 (Sunset Beach, CA 1986). |
Wolf et al, “Silicon epitaxial growth and silicon on insulator”, Chapter 7 in Silicon Processing for the VLSI Era, vol. 1: Process technology, 2nd Ed., pp. 225-264 (2000). |
Wu et al, “Thermal reactions on the -termination SiGe(100) surface”, Surface Science, 507-510, pp. 295-299 (2002). |
Wu et al, “Stability and mechanism of selective etching of ultrathin Ge films on the Si(100) surface upon chlorine adsorption”, Physical Review B, 69, 045308 (2004). |
Yagi et al.; Substitutional C incorporation into Si1—yCy alloys using novel carbon source, 1,3-disilabutane; Japanese Journal of Applied Physics; 2004; pp. 4153-4154; vol. 43, No. 7A. |
Yamamoto et al, “Chemical vapor phase etching of polycrystalline selective to epitaxial Si and SiGe”, Thin Solid Films 508, pp. 297-300 (2006). |
Zhu, “Modeling of germanium and antimony diffusion in Si1—xGex”, Electrochemical Society Proceedings, Jul. 2004, pp. 923-934 (2004). |
Aldao, et al., Halogen etching of Si via atomic-scale processes, Progress in Surface Science, 2001, pp. 189-230, vol. 68. |
Aldao, et al., Atomic processes during Ci supersaturation etching of Si(100)-(2 X 1), Physical Review, 2009, 125303, vol. 79. |
Agrawal, et al, Ci Insertion on Si (100)-(2 X 1): Etching Under Conditions of Supersaturation, Mar. 30, 2007, 136104, PRL 98. |
Aketagawa, et al, The influence of Cl2 on Si1—xGex selective epitaxial growth and B doping properties by UHV-CVD, Journal of Crystal Growth, 1993, pp. 484-488, vol. 127. |
Aketagawa, et al., Limitations of selective growth conditions in gas-source MBE using Si2H6, Journal of Crystal Growth, 1991, pp. 860-863, vol. 111. |
Antonell, et al., Carbon incorporated for strain compensation during solid phase epitaxial recrystallization of SiGe on Si at 500-600° C, J. Appl. Phys., May 15, 1996, vol. 79, No. 10. |
Bauer, et al, Low temperature selective epitaxial growth of SiCP on Si(110) oriented surfaces, Thin Solid Films, 2012, pp. 3144-3148, vol. 520. |
Bauer, et al., Lower temperature catalyst enhanced etch process with high etch rate selectivity for amorphous silicon based alloys over single-crystalline silicon based alloys, Thin Solid Films, 2012, pp. 3139-3143, vol. 520. |
Bauer, et al., Low Temperature Si:C co-flow and hybrid process using Si3H8/Cl2, Thin Solid Films, 2012, pp. 3133-3138, vol. 520. |
File History for U.S. Appl. No. 12/149,865, filed May 31, 2011. |
Gao, et al, Comparison of Cl2 and HCl adsorption on Si(100)-(2 X 1), Thin Solid Films, 1993, pp. 140-144, vol. 225. |
Gao, et al., Influence of phosphine flow rate on Si growth rate in gas source molecular beam epitaxy, Journal of Crystal Growth, 2000, pp. 461-465, vol. 220. |
Maruno, et al., Selective Epitaxial Growth by Ultrahigh-Vacuum Chemical Vapor Deposition with Alternating Gas Supply of Si2H6 and Cl2, Jpn. J. Appl. Phys., Nov. 2000, pp. 6139-6142, vol. 39. |
Office Action dated Feb. 12, 2013, received in Japanese Patent Application 2009-514271, with English translation. |
Office Action dated Feb. 22, 2013 for U.S. Appl. No. 13/111,917. |
Ogryzlo, et al., Doping and crystallographic effects in Cl-atom etching of silicon, J. Appl. Phys., Mar. 15, 1990, pp. 3115-3120, vol. 67, No. 6. |
Ogryzlo, et al., The etching of doped polycrystalline silicon by molecular chlorine, J. Appl. Phys., Dec. 1, 1988, pp. 6510-6514, vol. 64, No. 11. |
Preliminary Notice of First Office Action dated Jan. 22, 2013 for R.O.C Patent application 96118850. |
Tatsumi, et al., Selective epitaxial growth by UHV-CVD using Si2H6 and Cl2, Journal of Crystal Growth, 1992, pp. 275-278, vol. 120. |
Violette, et al., Low temperature selective silicon epitaxy by ultra high vacuum rapid thermal chemical vapor deposition using Si2H6, H2 and Cl2, Appl. Phys., Jan. 1, 1996, pp. 66-68, No. 1, vol. 68. |
English Translation of Korean Office Action, issued in Application No. 10-2009-7000140, dated Apr. 29, 2014. |
Number | Date | Country | |
---|---|---|---|
20120244688 A1 | Sep 2012 | US |
Number | Date | Country | |
---|---|---|---|
60811703 | Jun 2006 | US |
Number | Date | Country | |
---|---|---|---|
Parent | 11536463 | Sep 2006 | US |
Child | 13485214 | US |