The semiconductor integrated circuit industry has experienced rapid growth in the past several decades. Technological advances in semiconductor materials and design have produced increasingly smaller and more complex circuits. These material and design advances have been made possible as the technologies related to processing and manufacturing have also undergone technical advances. In the course of semiconductor evolution, the number of interconnected devices per unit of area has increased as the size of the smallest component that can be reliably created has decreased.
As the size has decreased, maintaining the reliability in patterning processes and the yields produced by the patterning processes has become more difficult. In some cases, the use of optical proximity correction and the adjustment of lithography parameters such as the duration of a process, the wavelength, focus, and intensity of light used can mitigate some defects. However, the current and systems for patterning material layers in semiconductor wafers has not been entirely satisfactory.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath”, “below”, “lower”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
As used herein, “around”, “about”, “approximately”, or “substantially” shall generally mean within 20 percent, or within 10 percent, or within 5 percent of a given value or range. Numerical quantities given herein are approximate, meaning that the term “around”, “about”, “approximately”, or “substantially” can be inferred if not expressly stated. One of ordinary skill in the art will appreciate that the dimensions may be varied according to different technology nodes. One of ordinary skill in the art will recognize that the dimensions depend upon the specific device type, technology generation, minimum feature size, and the like. It is intended, therefore, that the term be interpreted in light of the technology being evaluated.
As used herein, the term “high-k” refers to a high dielectric constant. In the field of semiconductor device structures and manufacturing processes, high-k refers to a dielectric constant that is greater than the dielectric constant of SiO2 (e.g., greater than 3.9). As used herein, the term “low-k” refers to a low dielectric constant. In the field of semiconductor device structures and manufacturing processes, low-k refers to a dielectric constant that is less than the dielectric constant of SiO2 (e.g., less than 3.9). As used herein, the term “p-type” defines a structure, layer, and/or region as being doped with p-type dopants, such as boron. As used herein, the term “n-type” defines a structure, layer, and/or region as being doped with n-type dopants, such as phosphorus. As used herein, the term “conductive” refers to an electrically conductive structure, layer, and/or region. As used herein, source/drain region(s) may refer to a source or a drain, individually or collectively dependent upon the context.
The present disclosure is related to semiconductor devices and methods of forming the same. More particularly, some embodiments of the present disclosure are related to semiconductor devices with a signal filter on a sensing electrode of the semiconductor devices. In some embodiments, the semiconductor devices may be realized on the device including planar devices, multi-gate devices, FinFETs, nanosheet-gate FETs, and gate-all-around FETs.
In some embodiments, the semiconductor device 10 may be an EUV radiation detector. An EUV radiation source may utilize a mechanism of laser-produced plasma (LPP) to generate the EUV radiation. Therefore, when the semiconductor device 10 detects the intensity of the EUV radiation, the plasma (i.e., background signal) may be also sensed and thus decrease the accuracy of the sensing element 200. Therefore, the filter 100 is formed over the sensing electrode SE to filter the background signal. In some embodiments, the filter 100 completely covers the sensing electrode SE. That is, an area of a top surface 102 of the filter 100 is equal to (as shown in
Reference is made to
In some embodiments, the second work function layer 120 is made of metal, e.g., Au, Cu, combinations thereof, or the like. For example, an atomic percentage of metal in the second work function layer 120 is greater than about 95%. In some embodiments, the first work function layer 110 is made of metal oxide or metal nitride, e.g., TiO2, NiO, combinations thereof, or the like. In some embodiments, the sensing electrode SE is made of metal, e.g., Al, Ni, or the like. For example, an atomic percentage of metal in the sensing electrode SE is greater than about 95%. In some embodiments, an atomic percentage of metal in the second work function layer 120 is greater than an atomic percentage of metal in the first work function layer 110. Further, an atomic percentage of oxygen in the sensing electrode SE is lower than an atomic percentage of oxygen in the first work function layer 110. Similar, an atomic percentage of oxygen in the second work function layer 120 is lower than the atomic percentage of oxygen in the first work function layer 110.
As shown in
In some embodiments, the sensing electrode SE has a thickness T1, the first work function layer 110 has a thickness T2, and the second work function layer 120 has a thickness T3. In some embodiments, the thickness T2 is in a range from about 10 nm to about 200 nm. If the thickness T2 is greater than about 200 nm, the first work function layer 110 may have high electrical resistance and thus degrade the sensing ability of the sensing element 200; if the thickness T2 is less than about 10 nm, the electrical properties of the first work function layer 110 may be changed. In some embodiments, the thickness T1 is in a range from about 50 nm to about 1200 nm. In some embodiments, the thickness T3 of the second work function layer 120 is greater than or equal to the thickness T2 of the first work function layer 110, such that the filter 100 has a good conductive performance. Similarly, the thickness T1 of the sensing electrode SE is greater than or equal to the thickness T2 of the first work function layer 110.
In some embodiments, the first work function layer 110 may be doped. That is, the first work function layer 110 may include N-type dopants or P-type dopants. The dopants in the first work function layer 110 are configured to shift the work function value thereof, such that the barrier height ΔΦ (see
In some embodiments, the adhesion layer 130 is made of transition metal dichalcogenide (TMDC) materials which include a class of materials that have the general chemical formula of MX2, wherein M is a transition metal element, and X is a chalcogen. The exemplary materials of the transition metal M include Ti, V, Co, Ni, Zr, Mo, Tc, Rh, Pd, Hf, Ta, W, Re, Ir, In, Sn, or Pt. Element X may be S, Se, or Te. Exemplary TMDC materials include MoS2, MoSe2, PtSe2, WS2, WSe2, MoTe2, and WTe2 in accordance with some exemplary embodiments. TMDCs form a layered structure with the form X-M-X, wherein the chalcogen atoms X are distributed in two hexagonal planes separated by a plane of metal atoms M. Stated in another way, the adhesion layer 130 includes a first layer, a second layer over the first layer, and a third layer over the second layer. The first layer and the third layer include the chalcogen atoms X, and the second layer includes the transition metal M. In some embodiments, the first layer, the second layer, and the third layer are monolayers.
In some embodiments, the adhesion layer 130 is doped to form an NP junction with the first work function layer 110. That is, the adhesion layer 130 and the first work function layer 110 have opposite conductivity types. For example, the first work function layer 110 is N-type doped, and the first work function layer 110 is p-type doped, or vice versa. Other relevant structural details of the filter 100 in
Reference is made to
The isolation structure 230 is over the substrate 210 and laterally surrounds the bottom of the semiconductor fin 220. That is, the bottom portion of the semiconductor fin 220 is embedded in the isolation structure 230. The isolation structure 230 may be shallow trench isolation (STI) regions.
The gate structure 240 is over the isolation structure 230 and across the semiconductor fin 220. A portion of the semiconductor fin 220 covered by the gate structure 240 is referred to as a channel portion of the semiconductor fin 220. In some embodiments, there is no electrically conductive element physically connected to the gate structure 240, such that the gate structure 240 can be referred to as a floating gate. The gate structure 240 further defines a source portion and a drain portion in the semiconductor fin 220.
Two reading contacts 250 are adjacent to the gate structure 240 and directly on the isolation structure 230, such that the two reading contacts 250 are spaced apart from the semiconductor fin 220. The reading contacts 250 are on opposite sides of the gate structure 240. The reading contacts 250 are separated from the gate structure 240 by a dielectric material (e.g., the gate spacers 290, the CESL 320, and/or the ILD layer 325 in
Two sensing contacts 260 are adjacent to the gate structure 240 and directly on the isolation structure 230, such that the two sensing contacts 260 are spaced apart from the semiconductor fin 220. The sensing contacts 260 are on opposite sides of the gate structure 240. In some embodiments, the sensing contact 260 and the reading contact 250 are on opposite sides of the semiconductor fin 220, such that the semiconductor fin 220 is between the sensing contact 260 and the reading contact 250. The sensing contacts 260 are separated from the gate structure 240 by a dielectric material (e.g., the gate spacers 290, the CESL 320, and/or the ILD layer 325 in
The sensing pad structure 270 is electrically connected to the sensing contacts 260. In some embodiments, the sensing pad structure 270 is disposed over the sensing contacts 260 and the gate structure 240. In some embodiments, the sensing pad structure 270 includes one or more conductive line(s) 272 and a plurality of conductive vias 274 between adjacent conductive lines 272. Some of the conductive vias 274 interconnect the conductive line 272 and the sensing electrode SE, and some of the conductive vias 274 interconnect the conductive line 272 and the sensing contacts 260. In some embodiments, some of the conductive vias 274 interconnect adjacent levels of the conductive lines 272. The conductive line(s) 272 and the conductive vias 274 are conductive materials, such that the signal (e.g., carriers) can flow from the conductive lines 272 to the sensing contacts 260.
In some embodiments, the sensing pad structure 270 includes a single conductive line 272, which is connected to the sensing contacts 260 through the conductive vias 274. The single conductive line 272 may be at the lowest level (e.g., M0 level) of the sensing pad structure 270. In some other embodiments, the single conductive line 272 may at the middle level (e.g., M1, M2, . . . level) or the topmost level (e.g., Mn level) of the sensing pad structure 270 according to various requirements.
The sensing element 200 further includes a word line WL and a bit line BL. The word line WL is electrically connected to the reading contacts 250, and the bit line BL is electrically connected to the drain of the semiconductor fin 220. For example, the bit line BL is connected to the drain of the semiconductor fin 220 through a source/drain contact 282. Further, the word line WL is electrically isolated from the gate structure 240. In some embodiments, the source of the semiconductor fin 220 is electrically connected to a ground (line) GND, which provides a reference electrical potential (e.g., about 0V) to the semiconductor device 10 during programming, erasing, and/or reading processes, through a source/drain contact 284.
The filter 100 covers the sensing electrode SE. As such, the filter 100 (i.e., the first work function layer 110, the second work function layer 120, and the adhesion layer 130 as shown in
The semiconductor device 10 has four different states it can be in: programming, erasing, sensing, and reading. The semiconductor device 10 performs the four different states (program, erase, sense, and read) as follows: Programming—The start of a program cycle of the semiconductor device 10 begins by applying a positive voltage +V1 to the word line WL and applying a negative voltage −V2 to the bit line BL. Further, the source of the semiconductor fin 220 is connected to the ground GND. As such, the gate structure 240 is floating and an electric field is formed in the gate structure 240, driving electrons to flow from the substrate 210 to the gate structure 240 through tunneling effect, and the electrons can be stored in the gate structure 240.
Erasing—The start of an erase cycle of the semiconductor device 10 begins by applying a negative voltage −V1 to the word line WL and applying a positive voltage +V2 to the bit line BL. Further, the source of the semiconductor fin 220 is connected to the ground GND. As such, the gate structure 240 is floating and an electric field is formed in the gate structure 240, driving electrons to flow from the gate structure 240 to the substrate 210 through tunneling effect, and the gate structure 240 is supposed to be free of electrons.
Sensing—During the sense cycle of the semiconductor device 10, no power is applied to the word line WL, the bit line BL, and the source of the semiconductor fin 220. In other word, the semiconductor device 10 is powerless in the sensing mode. When e-beam light is incident on the sensing pad structure 270, electrons of the e-beam light enter the sensing pad structure 270 and flow to the sensing contact(s) 260. An electrical coupling is formed between the sensing contact(s) 260 and the gate structure 240, and the voltage in the gate structure 240 is changed.
Reading—The start of a read cycle of the semiconductor device begins by applying a varied positive voltage +V3 to the word line WL, applying ground GND to the source of the semiconductor fin 220, and the gate structure 240 is floating, such that a corresponding current under the varied positive voltage +V3 is read from the bit line BL. With different intensities of the signal, the IV curve of the bit line BL and word line WL will be shifted in different degrees. As such, the intensity of the signal can be calculated from the IV curve.
Reference is made to
At least one semiconductor fin 220 is formed on the substrate 210. The semiconductor fin 220 may be formed using, for example, a patterning process to form trenches such that trenches are formed on opposite sides of the semiconductor fin 220. As discussed in greater detail below, the semiconductor fin 220 will be used to form FinFETs. It is understood that a single semiconductor fin 220 is illustrated for purposes of illustration, but other embodiments may include any number of semiconductor fins. In some embodiments, one or more dummy semiconductor fins are formed adjacent to the semiconductor fin 220.
Isolation structures 230, such as shallow trench isolations (STI), are disposed in the trenches and over the substrate 210. The isolation structures 230 can be equivalently referred to as an isolation insulating layer in some embodiments. The isolation structures 230 may be made of suitable dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like. In some embodiments, the isolation structures 230 are formed through a process such as CVD, flowable CVD (FCVD), or a spin-on-glass process, although any acceptable process may be utilized. Subsequently, portions of the isolation structures 230 extending over the top surfaces of the semiconductor fin 220 are removed using, for example, an etching back process, chemical mechanical polishing (CMP), or the like.
The isolation structures 230 are then recessed to expose an upper portion of the semiconductor fin 220. In some embodiments, the isolation structures 230 are recessed using a single etch processes, or multiple etch processes. In some embodiments in which the isolation structures 230 is made of silicon oxide, the etch process may be, for example, a dry etch, a chemical etch, or a wet cleaning process. For example, the chemical etch may employ fluorine-containing chemical such as dilute hydrofluoric (dHF) acid.
After the semiconductor fin 220 and the isolation structures 230 are formed, at least one dummy gate structure 310 is formed over the substrate 210 and at least partially disposed over the semiconductor fin 220. The portion of the semiconductor fin 220 underlying the dummy gate structure 310 may be referred to as a channel region, and the semiconductor fin 220 may be referred to as a channel layer. The dummy gate structure 310 may also define source/drain portions of the semiconductor fin 220, for example, the regions of the semiconductor fin 220 adjacent and on opposing sides of the channel region.
Dummy gate formation operation first forms a dummy gate dielectric layer over the semiconductor fin 220. Subsequently, a dummy gate electrode layer and a hard mask which may include multiple layers (e.g., an oxide layer and a nitride layer) are formed over the dummy gate dielectric layer. The hard mask is then patterned to be an oxide mask layer 318 and a nitride mask layer 316, followed by patterning the dummy gate electrode layer to be a dummy gate electrode 314 by using the oxide mask layer 318 and the nitride mask layer 316 as etch masks. In some embodiments, after patterning the dummy gate electrode layer, the dummy gate dielectric layer is removed from the S/D regions of the semiconductor fin 220 and to be a dummy gate dielectric layer 312. The etch process may include a wet etch, a dry etch, and/or combinations thereof. The etch process is chosen to selectively etch the dummy gate dielectric layer without substantially etching the semiconductor fin 220, the dummy gate electrode 314, the nitride mask layer 316, and the oxide mask layer 318.
After formation of the dummy gate structure 310 is completed, gate spacers 290 are formed on sidewalls of the dummy gate structure 310. In some embodiments of the gate spacer formation operations, a spacer material layer is deposited on the substrate 210. The spacer material layer may be a conformal layer that is subsequently etched back to form the gate spacers 290. In some embodiments, the spacer material layer includes multiple layers. The gate spacers 290 are made of a suitable material such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, SiCN, silicon oxycarbide, SiOCN, and/or combinations thereof. By way of example and not limitation, the spacer material layer may be formed by depositing dielectric materials over the dummy gate structure 310 using processes such as, an ALD process, a PEALD (plasma enhanced ALD) process, a PECVD process, a subatmospheric CVD (SACVD) process, or other suitable process. An anisotropic etching process is then performed on the spacer material layer to expose portions of the semiconductor fin 220 not covered by the dummy gate structure 310 (e.g., in the source/drain portions of the semiconductor fin 220). Portions of the spacer material layer directly above the dummy gate structure 310 may be removed by this anisotropic etching process. Portions of the spacer material layer on sidewalls of the dummy gate structure 310 may remain, forming gate sidewall spacers, which are denoted as the gate spacers 290, for the sake of simplicity. In some embodiments, the gate spacers 290 may be used to offset subsequently formed doped regions, such as source/drain regions. The gate spacers 290 may further be used for designing or modifying the source/drain region profile.
In some embodiments, source/drain regions 222 and 224 are formed in the source/drain portions of the semiconductor fin 220. For example, at least one implantation process is performed, such that dopants are implanted in the source/drain portions of the semiconductor fin 220 to form the source/drain regions 222 and 224. The dummy gate structure 310 and the gate spacers 290 act as a mask for the ion implantation. Optionally, the source/drain portions of the semiconductor fin 220 are recessed and source/drain epitaxial structures are formed in the recesses and over the source/drain portions of the semiconductor fin 220.
Reference is made to
In some examples, after forming the ILD layer 325, a planarization process may be performed to remove excessive materials of the ILD layer 325. For example, a planarization process includes a chemical mechanical planarization (CMP) process which removes portions of the ILD layer 325 (and the CESL 320, if present) overlying the dummy gate structure 310. In some embodiments, the CMP process also removes the nitride mask layer 316 and the oxide mask layer 318 (as shown in
The dummy gate electrode 314 and the dummy gate dielectric layer 312 (see
Thereafter, a replacement gate structure 240 is formed in the gate trench. The gate structure 240 may be the final gates of FinFETs. The final gate structure may be a high-k/metal gate stack, however other compositions are possible. In some embodiments, the gate structure 240 forms the gate associated with the three-sides of the channel region provided by the semiconductor fin 220. Stated another way, the gate structure 240 wraps around the semiconductor fin 220 on three sides. In various embodiments, the (high-k/metal) gate structure 240 includes a gate dielectric layer 242 lining the gate trench and a gate electrode over the gate dielectric layer 242. The gate electrode may include a work function metal layer 244 formed over the gate dielectric layer 242 and a fill metal 246 formed over the work function metal layer 244 and filling a remainder of gate trenches. The gate dielectric layer 242 includes an interfacial layer (e.g., silicon oxide layer) and a high-k gate dielectric layer over the interfacial layer. High-k gate dielectrics, as used and described herein, include dielectric materials having a high dielectric constant, for example, greater than that of thermal silicon oxide (˜3.9). The work function metal layer 244 and/or fill metal 246 used within the high-k/metal gate structure 240 may include a metal, metal alloy, or metal silicide. Formation of the high-k/metal gate structure 240 may include multiple deposition processes to form various gate materials, one or more liner layers, and one or more CMP processes to remove excessive gate materials.
In some embodiments, the interfacial layer of the gate dielectric layer 242 may include a dielectric material such as silicon oxide (SiO2), HfSiO, or silicon oxynitride (SiON). The interfacial layer may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable method. The high-k dielectric layer of the gate dielectric layer 242 may include hafnium oxide (HfO2). Alternatively, the gate dielectric layer 242 may include other high-k dielectrics, such as hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), lanthanum oxide (LaO), zirconium oxide (ZrO), titanium oxide (TiO), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), strontium titanium oxide (SrTiO3, STO), barium titanium oxide (BaTiO3, BTO), barium zirconium oxide (BaZrO), hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), aluminum oxide (Al2O3), silicon nitride (Si3N4), oxynitrides (SiON), and combinations thereof.
The work function metal layer 244 may include work function metals to provide a suitable work function for the high-k/metal gate structure 240. For an n-type FinFET, the work function metal layer 244 may include one or more n-type work function metals (N-metal). The n-type work function metals may exemplarily include, but are not limited to, titanium aluminide (TiAl), titanium aluminium nitride (TiAlN), carbo-nitride tantalum (TaCN), hafnium (Hf), zirconium (Zr), titanium (Ti), tantalum (Ta), aluminum (Al), metal carbides (e.g., hafnium carbide (HfC), zirconium carbide (ZrC), titanium carbide (TiC), aluminum carbide (AlC)), aluminides, and/or other suitable materials. The p-type work function metals may exemplarily include, but are not limited to, titanium nitride (TiN), tungsten nitride (WN), tungsten (W), ruthenium (Ru), palladium (Pd), platinum (Pt), cobalt (Co), nickel (Ni), conductive metal oxides, and/or other suitable materials. In some embodiments, the fill metal 246 may exemplarily include, but are not limited to, tungsten, aluminum, copper, nickel, cobalt, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, TaC, TaSiN, TaCN, TiAl, TiAlN, or other suitable materials.
One or more etching processes are performed to form contact openings extending though the ILD layer 325 to expose the semiconductor fin 220 or the isolation structures 230. Reading contacts 250, sensing contacts 260, and source/drain contacts 282 and 284 are respectively formed in the contact openings. Formation of the contacts includes, by way of example and not limitation, depositing one or more conductive materials overfilling the contact openings and then performing a CMP process to remove excessive conductive materials outside the contact openings.
Reference is then made to
The word line WL, the bit line BL, the ground line GND, the sensing electrode SE, the conductive line 272, and the conductive vias 274 can be formed using, for example, a single damascene process, a dual damascene process, the like, or combinations thereof. In some embodiments, the IMD layers 333 may include low-k dielectric materials having k values, for example, lower than about 4.0 or even 2.0 disposed between such conductive features. In some embodiments, the IMD layers 333 may be made of, for example, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), SiOxCy, Spin-On-Glass, Spin-On-Polymers, silicon oxide, silicon oxynitride, combinations thereof, or the like, formed by any suitable method, such as spin-on coating, chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), or the like. In some embodiments, the etch stop layers 334 may be formed of SiNx, SiCN, SiO2, CN, AlOxNy, combinations thereof, or the like, deposited by CVD or PECVD techniques. The word line WL, the bit line BL, the ground line GND, the sensing electrode SE, the conductive line 272, and the conductive vias 274 may include metal materials such as copper, aluminum, tungsten, combinations thereof, or the like. In some embodiments, the word line WL, the bit line BL, the ground line GND, sensing electrode SE, the conductive line 272, and the conductive vias 274 may further include one or more barrier/adhesion layers (not shown) to protect the respective IMD layers 333 from metal diffusion (e.g., copper diffusion) and metallic poisoning. The one or more barrier/adhesion layers may comprise titanium, titanium nitride, tantalum, tantalum nitride, or the like, and may be formed using physical vapor deposition (PVD), CVD, ALD, or the like. As shown in
Reference is made to
Reference is made to
Reference is made to
Reference is made to
Reference is made to
In various embodiments, the illuminator 920 includes various refractive optic components, such as a single lens or a lens system having multiple lenses (zone plates) or alternatively reflective optics (for EUV lithography exposure apparatus), such as a single mirror or a mirror system having multiple mirrors in order to direct light from the light source 910 onto a photomask 800 secured on the reticle chuck 950.
The projection optics module (or projection optics box (POB)) 930 is configured for imaging the pattern of the photomask 800 onto a semiconductor wafer secured on the substrate stage 940 of the lithography exposure apparatus 900 for a lithography exposure process. However, during detecting the intensity of EUV light, the semiconductor device 10 is secured on the substrate stage 940. In some embodiments, the POB 930 has refractive optics (such as for a UV lithography exposure apparatus) or alternatively reflective optics (such as for an EUV lithography exposure apparatus) in various embodiments. The illuminator 920 and the POB 930 are collectively referred to as an optical module of the lithography exposure apparatus 900.
Based on the above discussions, it can be seen that the present disclosure offers advantages. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. One advantage is that the filter filters the background of a signal to increase the accuracy of the sensing element. Further, the filter may have a good adhesion to the sensing electrode of the sensing element. In addition, dopants may be doped into the conductive layers of the filter such that the barrier height between the conductive layers of the filter can be tuned.
According to some embodiments, a semiconductor device includes a sensing element including a sensing electrode and a filter covering the sensing electrode. The filter includes a first work function layer and a second work function layer. The first work function layer is over the sensing electrode. The second work function layer is over the first work function layer. A work function value of the second work function layer is greater than a work function value of the first work function layer, and an atomic percentage of metal in the second work function layer is greater than an atomic percentage of metal in the first work function layer.
According to some embodiments, a method includes providing a sensing element including a sensing electrode. A metal oxide layer is over the sensing element to cover the sensing electrode. A metal layer is formed over the metal oxide layer. A barrier height between the metal layer and the metal oxide layer is in a range from about 0.2 eV to about 1.7 eV. The metal layer and the metal oxide layer are patterned to form a filter over the sensing electrode. The gate structure is across the semiconductor fin. The sensing contact is directly on the isolation structure and adjacent to the gate structure. The sensing electrode is electrically connected to the sensing contact. The reading contact is directly on the isolation structure and adjacent to the gate structure. The filter covers the sensing electrode and includes a first work function layer and a second work function layer over the first work function layer. A work function value of the second work function layer is greater than a work function value of the first work function layer.
According to some embodiments, a semiconductor device includes a sensing element and a filter. The sensing element includes a semiconductor fin, an isolation structure, a gate structure, a sensing contact, a sensing electrode, and a reading contact. The semiconductor fin is over a substrate. The isolation structure laterally surrounds a bottom of the semiconductor fin. The gate structure is across the semiconductor fin. The sensing contact is directly on the isolation structure and adjacent to the gate structure. The sensing electrode is electrically connected to the sensing contact. The reading contact is directly on the isolation structure and adjacent to the gate structure. The filter covers the sensing electrode and includes a first work function layer and a second work function layer over the first work function layer. A work function value of the second work function layer is greater than a work function value of the first work function layer.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.