Semiconductor device and method for fabricating the same

Information

  • Patent Grant
  • 9711411
  • Patent Number
    9,711,411
  • Date Filed
    Tuesday, December 8, 2015
    8 years ago
  • Date Issued
    Tuesday, July 18, 2017
    6 years ago
Abstract
A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a first gate structure and a second gate structure on the substrate; forming a contact etch stop layer (CESL) on the first gate structure, the second gate structure, and the substrate; removing part of the CESL between the first gate structure and the second gate structure; and forming an interlayer dielectric (ILD) layer on the CESL.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The invention relates to a method for fabricating semiconductor device, and more particularly, to a method of removing part of contact etch stop layer (CESL) between two gate structures before formation of an interlayer dielectric (ILD) layer.


2. Description of the Prior Art


In current semiconductor industry, polysilicon has been widely used as a gap-filling material for fabricating gate electrode of metal-oxide-semiconductor (MOS) transistors. However, the conventional polysilicon gate also faced problems such as inferior performance due to boron penetration and unavoidable depletion effect which increases equivalent thickness of gate dielectric layer, reduces gate capacitance, and worsens driving force of the devices. In replacing polysilicon gates, work function metals have been developed to serve as a control electrode working in conjunction with high-K gate dielectric layers.


However, in current fabrication of high-k metal transistor, an extra step is often required to remove part of the CESL during formation of contact plugs, resulting in increase in cost. Hence, how to resolve this issue has become an important task in this field.


SUMMARY OF THE INVENTION

According to a preferred embodiment of the present invention, a method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a first gate structure and a second gate structure on the substrate; forming a contact etch stop layer (CESL) on the first gate structure, the second gate structure, and the substrate; removing part of the CESL between the first gate structure and the second gate structure; and forming an interlayer dielectric (ILD) layer on the CESL.


According to another aspect of the present invention, a semiconductor device is disclosed. The semiconductor device includes: a substrate; a first gate structure on the substrate; a first contact etch stop layer (CESL) adjacent to the sidewall of the first gate structure, wherein the first CESL is L-shaped; and an interlayer dielectric (ILD) layer on the substrate and contacting the CESL and the substrate.


These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-6 illustrate a method for fabricating semiconductor device according to a preferred embodiment of the present invention.





DETAILED DESCRIPTION

Referring to FIGS. 1-6, FIGS. 1-6 illustrate a method for fabricating semiconductor device according to a preferred embodiment of the present invention. As shown in FIG. 1, a substrate 12, such as a silicon substrate or silicon-on-insulator (SOI) substrate is provided, and a transistor region, such as a PMOS region or a NMOS region is defined on the substrate 12. At least a fin-shaped structure 14 and an insulating layer (not shown) are formed on the substrate 12, in which the bottom of the fin-shapes structure 14 is preferably enclosed by the insulating layer, such as silicon oxide to form a shallow trench isolation (STI), and a plurality of gate structures 16, 18, 20 are formed on part of the fin-shaped structure 14. It should be noted that even though three gate structures are disclosed in this embodiment, the quantity of the gate structures is not limited to three, but could by any quantity depending on the demand of the product.


The formation of the fin-shaped structure 14 could be accomplished by first forming a patterned mask (now shown) on the substrate, 12, and an etching process is performed to transfer the pattern of the patterned mask to the substrate 12. Next, depending on the structural difference of a tri-gate transistor or dual-gate fin-shaped transistor being fabricated, the patterned mask could be stripped selectively or retained, and deposition, chemical mechanical polishing (CMP), and etching back processes are carried out to form a STI surrounding the bottom of the fin-shaped structure 14. Alternatively, the formation of the fin-shaped structure 14 could be accomplished by first forming a patterned hard mask (not shown) on the substrate 12, and then performing an epitaxial process on the exposed substrate 12 through the patterned hard mask to grow a semiconductor layer. This semiconductor layer could then be used as the corresponding fin-shaped structure 14. Similarly, the patterned hard mask could be removed selectively or retained, and deposition, CMP, and then etching back could be used to form a STI surrounding the bottom of the fin-shaped structure 14. Moreover, if the substrate 12 were a SOI substrate, a patterned mask could be used to etch a semiconductor layer on the substrate until reaching a bottom oxide layer underneath the semiconductor layer to form the corresponding fin-shaped structure. If this means is chosen the aforementioned steps for fabricating the STI could be eliminated.


The fabrication of the gate structures 16, 18, 20 could be accomplished by a gate first process, a high-k first approach from gate last process, or a high-k last approach from gate last process. Since this embodiment pertains to a high-k last approach, gate structures 16, 18, 20 containing interfacial layer (not shown) and gate electrodes composed of polysilicon material 22 could be first formed on the fin-shaped structure 14, and spacers 24 and 26 are formed adjacent to each of the gate structures 16, 18, 20.


In this embodiment, the spacer 24 is composed of SiCN and the spacer 26 formed around the spacer 24 is preferably composed of SiO2, but not limited thereto. For instance, the spacers 24 and 26 could be selected from the group consisting of SiO2, SiN, SiON, and SiCN while the spacers 24 and 26 are preferably composed of different material.


Next, a source/drain region 28 and/or epitaxial layer (not shown) formed in the fin-shaped structure 14 and/or substrate 12 adjacent to two sides of the spacers 24 and 26, and a silicide (not shown) is selectively formed on the surface of the source/drain region 28 and/or epitaxial layer. A CESL 30 is then deposited on the gate structures 16, 18, 20 and substrate 12, in which the CESL 30 is preferably composed of SiCN, but could also be composed of other stress material such as SiN.


Next, as shown in FIG. 2, an etching process is conducted to remove part of the CESL 30 between gate structures 16 and 18 and part of the CESL 30 between gate structures 18 and 20. This exposes the surface of the fin-shaped structures 14 and forms a L-shaped CESL 32 adjacent to a sidewall of each of the gate structures 16, 18, 20 or spacers 24, 26 and a reverse L-shaped CESL 34 adjacent to another sidewall of the gate structures 16, 18, 20 or spacers 24, 26. According to an embodiment of the present invention, an optional thermal treatment could be conducted to keep the stress of the CESL 30 before the CESL 30 is separated It should be noted that even though a height difference is observed between the CESL 30 directly on top of the gate structures 16, 18, 20 and the CESL 30 on the surface of the fin-shaped structure 14, it would be desirable to separate the CESL 30 directly on top of the gate structures 16, 18, 20 while separating the CESL 30 between gate structures 16, 18, 20, or keep the CESL 30 directly on top of the gate structures 16, 18, 20 intact while the CESL 30 between gate structures 16, 18, 20 is separated.


For instance, if no mask were used during the aforementioned etching process, it would be desirable to remove the CESL 30 directly on top of the gate structures 16, 18, 20 and expose the polysilicon material 22 surface while part of the CESL 30 between gate structures 16, 18, 20 is removed. If the CESL 30 directly on top of the gate structures 16, 18, 20 were to be kept intact, according to an embodiment of the present invention, it would be desirable to first conduct a deposition process to form a polymer (not shown) on the CESL 30 that was directly on top of the gate structures 16, 18, 20, and then perform the aforementioned etching process by using the polymer as mask to remove the CESL 30 between gate structures 16, 18, 20. Through the protection of the polymer, the thickness of the CESL 30 directly on top of the gate structures 30 could be maintained throughout the etching process. In this embodiment, the etching gas used for removing the CESL 30 could be selected from the group consisting of CH2F2, CH3F, and CF4, but not limited thereto.


Next, as shown in FIG. 3, an interlayer dielectric (ILD) layer 36 is formed on the CESL 30 and fin-shaped structure 14 while filling the space between gate structures 16, 18, 20, and a planarizing process, such as CMP is conducted to remove part of ILD layer 36 and part of the CESL 30 so that the gate electrode composed of polysilicon material 22 is exposed and the top surfaces of the gate electrode and the ILD layer 36 are coplanar.


According to an embodiment of the present invention, as shown in FIG. 4, instead of forming the ILD layer 36 immediately after separating the single layered CESL 30 as revealed in the embodiment shown in FIG. 3, it would also be desirable to form another CESL 62 on the CESL 30 after the CESL 30 is separated and before the ILD layer 36 is formed. The ILD layer 36 is then deposited on the second CESL 62 as the CESL 62 is not etched or separated, which is also within the scope of the present invention.


Next as shown in FIG. 5, a replacement metal gate (RMG) process is conducted to transform the gate structures 16, 18, 20, into metal gates 46, 48, 50. The RMG process could be accomplished by first performing a selective dry etching or wet etching process by using etchants including ammonium hydroxide (NH4OH) or tetramethylammonium hydroxide (TMAH) to remove the polysilicon material 22 from gate structures 16, 18, 20 for forming recesses (not shown) in the ILD layer 36. Next, a high-k dielectric layer 44 and a conductive layer including at least a U-shaped work function metal layer 38 and a low resistance metal layer 40 are formed in the recesses, and a planarizing process is conducted so that the surfaces of the U-shaped high-k dielectric layer 44, the U-shaped work function layer 38 and low resistance metal layer 40 are even with the surface of the ILD layer 36.


In this embodiment, the high-k dielectric layer 44 is preferably selected from dielectric materials having dielectric constant (k value) larger than 4. For instance, the high-k dielectric layer 44 may be selected from hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), barium strontium titanate (BaxSr1-xTiO3, BST) or a combination thereof.


In this embodiment, the work function metal layer 38 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS. For an NMOS transistor, the work function metal layer 38 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto. For a PMOS transistor, the work function metal layer 38 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto. An optional barrier layer (not shown) could be formed between the work function metal layer 38 and the low resistance metal layer 40, in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN). Furthermore, the material of the low-resistance metal layer 40 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof. Since the process of using RMG process to transform dummy gate into metal gate is well known to those skilled in the art, the details of which are not explained herein for the sake of brevity. Next, part of the high-k dielectric layer 44, part of the work function metal layer 38, and part of the low resistance metal layer 40 could be removed to form recesses (not shown), and a hard mask 42 is formed into each of the recess so that the top surface of the hard masks 42 and the ILD layer 36 are coplanar. Preferably, the hard mask 42 could be selected from the group consisting of SiO2, SiN, SiON, and SiCN.


Next, a dielectric layer 52 is formed on the metal gates 46, 48, 50 and the ILD layer 36, in which the dielectric layer 52 and ILD layer 36 could be composed of same material or different material. For instance, the dielectric layer 52 could be selected from the group consisting of SiO2, SiN, SiON, and SiCN. Next, a patterned mask (not shown) such as a patterned resist is formed on the dielectric layer 52, and an etching process is conducted by using the patterned mask to remove part of the dielectric layer 52 and part of the ILD layer 36 between metal gates 48 and 50 to form a contact hole 54 exposing the fin-shaped structures 14 underneath.


Next, as shown in FIG. 6, a contact plug formation is conducted by depositing metals into the contact hole 54, such as by sequentially forming a barrier layer 56 selected from the group consisting of Ti, TiN, Ta, and TaN and a metal layer 58 selected from the group consisting of W, Cu, Al, TiAl, and CoWP into the contact hole 54. After the barrier layer 56 and metal layer 58 are deposited, a planarizing process, such as CMP process is conducted to remove part of the barrier layer 56 and part of the metal layer 58 to form a contact plug 60 electrically connected to the source/drain region 28 and epitaxial layer in the substrate 12. It should be noted that even though the contact plug 60 in this embodiment directly contacts the adjacent ILD layer 36, it would also be desirable to remove all of the ILD layer 36 between metal gates 48 and 50 before contact hole 54 is formed, and if this approach were employed, the contact plug 60 formed afterwards would contact the CESLs 32 and 34 on the two sides directly, which is also within the scope of the present invention.


Referring again to FIG. 6, which further illustrates a structural view of a semiconductor device according to a preferred embodiment of the present invention. As shown in FIG. 6, the semiconductor device includes a substrate 12, gate structures or metal gates 46, 48, 50 on the substrate 12, spacer 24 and spacer 26 adjacent to each of the metal gates 46, 48, 50, CESLs 32 and 34 adjacent to the metal gates 46, 48, 50 or sidewalls of spacers 26, a ILD layer 36 on the substrate 12 and contacting the CESLs 32 and 34 and fin-shaped structure, a dielectric layer 52 on the metal gates 46, 48, 50 and ILD layer 36, and a contact plug 60 in the dielectric layer 52 and between metal gates 46, 48, 50 and contacting the source/drain region 28 in the substrate 12.


Specifically, each of the spacers 24 and 26 is I-shaped, the CESLs 32, 34 adjacent to the metal gates 46, 48, 50 or spacers 26 are L-shaped or reverse L-shaped, the spacer 24 is preferably composed of SiCN, the spacer 26 is composed of SiO2, and the CESLs 32 and 34 are preferably composed of SiCN. Viewing at the ILD layer 36 between metal gates 46 and 48, the ILD layer 36 is on the substrate 12 and contacting the L-shaped CESL 32 adjacent to the metal gate 46, the reverse L-shaped CESL 34 adjacent to the metal gate 48, and fin-shaped structures 14 at the same time. In addition, the top surfaces and bottom surfaces of the spacers 24 and 26, the CESLs 32 and 34, and ILD layer 36 are coplanar.


If viewing at the contact plug 60 between metal gates 48 and 50, the contact plug 60 preferably contacts the ILD layer 36, CESLs 32 and 34, and fin-shaped structures 14 at the same time. However if the ILD layer 36 between metal gates 48 and 50 were removed completely during the formation of contact hole 54, the contact plug 60 would only be contacting the CESLs 32 and 34 and the fin-shaped structure 14 directly.


Overall, the present invention preferably uses an etching process to remove part of the CESL between gate structures and expose the fin-shaped structure or substrate underneath before an ILD layer is formed, and then forms the ILD layer on the gate structures and the CESL. Since the CESL is separated beforehand, no extra process is required to remove the CESL for forming contact hole and contact plug in the ILD layer afterwards. This simplifies the complexity of the fabrication process and reduces overall cost substantially.


Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims
  • 1. A method for fabricating semiconductor device, comprising: providing a substrate;forming a first gate structure and a second gate structure on the substrate;forming a contact etch stop layer (CESL) on the first gate structure, the second gate structure, between the first gate structure and the second gate structure and on the substrate;removing part of the CESL between the first gate structure and the second gate structure to form a first CESL adjacent to the first gate structure and a second CESL adjacent to a first sidewall of the second gate structure, wherein the first CESL comprises a L-shape and the second CESL comprises a reverse L-shape;forming an interlayer dielectric (ILD) layer on and contacting the substrate and the CESL after forming the first CESL comprising the L-shape and the second CESL comprising the reverse L-shape; andforming a contact plug adjacent to a second sidewall of the second gate structure and not forming another contact plug adjacent to the first sidewall of the second gate structure.
  • 2. The method of claim 1, wherein the substrate comprises a fin-shaped structure thereon, the method further comprises: forming the first gate structure and the second gate structure and at the same time forming a third gate structure on the substrate;forming a first spacer and a second spacer adjacent to each of the first gate structure, the second gate structure, and the third gate structure;forming the CESL, wherein the step of forming the CESL further comprises forming the CESL on the third gate structure and between the second gate structure and the third gate structure;removing part of the CESL between the first gate structure and the second gate structure and at the same time removing part of the CESL between the second gate structure and the third gate structure; andforming the interlayer dielectric (ILD) layer on the CESL, wherein the step of forming the ILD layer further comprises forming the ILD layer between the first gate structure, the second gate structure, and the third gate structure.
  • 3. The method of claim 2, wherein the first spacer comprises SiCN and the second spacer comprises SiO2.
  • 4. The method of claim 2, wherein the step of forming the ILD layer further comprising forming the ILD layer to contact the fin-shaped structure.
  • 5. The method of claim 2, further comprising: performing a replacement metal gate (RMG) process to transform the first gate structure, the second gate structure, and the third gate structure into a first metal gate, a second metal gate, and a third metal gate;forming a dielectric layer on the first metal gate, the second metal gate, the third metal gate, and the ILD layer; andforming the contact plug in the dielectric layer and the ILD layer and between the second metal gate and the third metal gate.
  • 6. The method of claim 1, wherein the CESL comprises SiCN.
  • 7. A semiconductor device, comprising: a substrate;a first gate structure and a second gate structure on the substrate;a first contact etch stop layer (CESL) adjacent to a sidewall of the first gate structure and a second CESL adjacent to a first sidewall of the second gate structure, wherein the first CESL comprises a L-shape, the second CESL comprises a reverse L-shape, and the bottom surfaces of the L-shape and the reverse L-shape are coplanar;an interlayer dielectric (ILD) layer on the substrate and contacting the CESL and the substrate between the first CESL and the second CESL; anda contact plug adjacent to a second sidewall of the second gate structure and no contact plug is adjacent to the first sidewall of the second gate structure.
  • 8. The semiconductor device of claim 7, wherein the substrate comprises a fin-shaped structure thereon, the semiconductor device further comprises: a first spacer and a second spacer adjacent to each of the first gate structure and the second gate structure.
  • 9. The semiconductor device of claim 8, wherein the first spacer and the second spacer are I-shaped.
  • 10. The semiconductor device of claim 8, wherein the first spacer comprises SiCN and the second spacer comprises SiO2.
  • 11. The semiconductor device of claim 7, wherein the first CESL and the second CESL comprise SiCN.
  • 12. The semiconductor device of claim 7, wherein the interlayer dielectric (ILD) layer is between the first CESL and the second CESL.
  • 13. The semiconductor device of claim 8, wherein the ILD layer contacts the first CESL, the second CESL, and the fin-shaped structure.
  • 14. The semiconductor device of claim 8, wherein the top surfaces of the first spacer, the second spacer, the first CESL, the second CESL, and the ILD layer are coplanar.
  • 15. The semiconductor device of claim 8, wherein the bottom surfaces of the first spacer, the second spacer, the first CESL, the second CESL, and the ILD layer are coplanar.
Priority Claims (1)
Number Date Country Kind
2015 1 0759984 Nov 2015 CN national
US Referenced Citations (110)
Number Name Date Kind
5960270 Misra Sep 1999 A
6531724 Furukawa Mar 2003 B1
6613624 Wurzer Sep 2003 B2
6878597 Kim Apr 2005 B2
7314793 Frohberg Jan 2008 B2
7615426 Wang Nov 2009 B2
8084311 Horak Dec 2011 B1
8202776 Chang Jun 2012 B2
8389371 Wang Mar 2013 B2
8735269 Sun May 2014 B1
8822298 Flachowsky Sep 2014 B2
8940633 Cai Jan 2015 B2
8975673 Chou Mar 2015 B2
8999834 Chung Apr 2015 B2
9006058 Chen Apr 2015 B1
9117904 Chou Aug 2015 B2
9159794 Yu Oct 2015 B2
9209272 Liu Dec 2015 B2
9218974 Chung Dec 2015 B2
9230864 Lu Jan 2016 B1
9252273 Zang Feb 2016 B2
9287139 Hsiao Mar 2016 B2
9312354 Liu Apr 2016 B2
9318389 Yang Apr 2016 B1
9330920 Chen May 2016 B1
9379242 Lin Jun 2016 B1
9384988 Lin Jul 2016 B2
9396953 Hung Jul 2016 B2
20020058402 Wieczorek May 2002 A1
20040212095 Ferreira Oct 2004 A1
20050040479 Koldiaev Feb 2005 A1
20060009030 Griffin Jan 2006 A1
20060189053 Wang Aug 2006 A1
20070023822 Sung Feb 2007 A1
20070210421 Bu Sep 2007 A1
20080064176 Chou Mar 2008 A1
20080157208 Fischer Jul 2008 A1
20080248649 Adetutu Oct 2008 A1
20080272492 Tsang Nov 2008 A1
20090057769 Wei Mar 2009 A1
20090104741 Shin Apr 2009 A1
20090140313 Joo Jun 2009 A1
20100001369 Chuang Jan 2010 A1
20100022061 Wu Jan 2010 A1
20100059833 Yu Mar 2010 A1
20100062592 Clark Mar 2010 A1
20100270627 Chang Oct 2010 A1
20100301427 Lenski Dec 2010 A1
20100311231 Thei Dec 2010 A1
20100314690 Chung Dec 2010 A1
20110024805 Kammler Feb 2011 A1
20110108994 Liang May 2011 A1
20110151635 Liu Jun 2011 A1
20110156107 Bohr Jun 2011 A1
20120032238 Teo Feb 2012 A1
20120032268 Hou Feb 2012 A1
20120070948 Cheng Mar 2012 A1
20120156855 Sim Jun 2012 A1
20120235215 Flachowsky Sep 2012 A1
20120315760 Yu Dec 2012 A1
20130043539 Chang Feb 2013 A1
20130049132 Doris Feb 2013 A1
20130065371 Wei Mar 2013 A1
20130105912 Hsu May 2013 A1
20130157450 Fitz Jun 2013 A1
20130175629 Chang Jul 2013 A1
20130270613 Chou Oct 2013 A1
20130270651 Chung Oct 2013 A1
20140015104 Su Jan 2014 A1
20140042549 Von Kluge Feb 2014 A1
20140106558 Hsu Apr 2014 A1
20140170846 Chung Jun 2014 A1
20140252424 Cai Sep 2014 A1
20140361352 Hung Dec 2014 A1
20150072511 Liu Mar 2015 A1
20150129939 Alptekin May 2015 A1
20150137195 Lin May 2015 A1
20150137197 Chou May 2015 A1
20150137234 Cheng May 2015 A1
20150194517 Zang Jul 2015 A1
20150200260 Yu Jul 2015 A1
20150228546 Huang Aug 2015 A1
20150228646 Ho Aug 2015 A1
20150243526 Hsiao Aug 2015 A1
20150243751 Liu Aug 2015 A1
20150255556 Cheng Sep 2015 A1
20150262822 Hung Sep 2015 A1
20150262823 Hung Sep 2015 A1
20150279838 Tsao Oct 2015 A1
20150364573 Yeh Dec 2015 A1
20150372108 Cai Dec 2015 A1
20150380406 Chang Dec 2015 A1
20150380502 Yu Dec 2015 A1
20150380558 Huang Dec 2015 A1
20160005650 Yang Jan 2016 A1
20160005731 Chen Jan 2016 A1
20160013288 Cheng Jan 2016 A1
20160020300 Tsai Jan 2016 A1
20160049514 Zang Feb 2016 A1
20160064518 Liu Mar 2016 A1
20160064528 Lu Mar 2016 A1
20160087037 Cheng Mar 2016 A1
20160093536 Yang Mar 2016 A1
20160093616 Lai Mar 2016 A1
20160099337 Cheng Apr 2016 A1
20160104786 Yang Apr 2016 A1
20160111541 Chang Apr 2016 A1
20160163815 Hoentschel Jun 2016 A1
20160190019 Yang Jun 2016 A1
20160204026 Liu Jul 2016 A1
Non-Patent Literature Citations (1)
Entry
Tsai, Title of Invention: Semiconductor Device and Method for Fabricating the Same, U.S. Appl. No. 14/940,120, filed Nov. 12, 2015.
Related Publications (1)
Number Date Country
20170133274 A1 May 2017 US