The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
In addition, terms, such as “first,” “second,” “third,” “fourth,” and the like, may be used herein for ease of description to describe similar or different element(s) or feature(s) as illustrated in the figures, and may be used interchangeably depending on the order of the presence or the contexts of the description. Source/drain structure(s) may refer to a source or a drain, individually or collectively dependent upon the context.
Although some embodiments described in this disclosure are described in the context of nanosheet channel FETs, implementations of some aspects of the present disclosure may be used in other processes and/or in other devices, such as Horizontal Gate All Around (HGAA) FETs, Vertical Gate All Around (VGAA) FETs, and other suitable devices. A person having ordinary skill in the art will readily understand other modifications that may be made are contemplated within the scope of this disclosure.
The gate all around (GAA) transistor structures may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
The various aspects of the present disclosure will now be described in more detail with reference to the figures.
Referring to
A multi-layer stack (not shown) including alternating layers of first semiconductor layers and second semiconductor layers may be formed over the substrate 10 and then patterned to form a plurality of nanostructures 22, 24. As seen from
In some embodiments, the first semiconductor layers are formed of a first semiconductor material, such as silicon, silicon carbide, or the like, and the second semiconductor layers are formed of a second semiconductor material different from the first semiconductor material, such as silicon germanium, or the like. In some further embodiments, the semiconductor materials of the first semiconductor layers and the second semiconductor layers are selected to have high etch selectivity relative to each other so that either the first or the second semiconductor layers can be removed during subsequent process without significantly removing the other. Each layer of the multi-layer stack may be epitaxially grown using a deposition process, such as a chemical vapor deposition (CVD), an atomic layer deposition (ALD), a vapor phase epitaxy (VPE), a molecular beam epitaxy (MBE), or the like.
Next, the multi-layer stack and the substrate 10 are patterned by one or more photolithography processes (e.g., double-patterning or multi-patterning processes) and a subsequent anisotropic etching process to form the fins 20 within the substrate 10 and the nanostructures 22, 24 over the substrate 10. The etching process may include a reactive ion etch (RIE), a neutral beam etch (NBE), the like, or a combination thereof. For example, first nanostructures 22A-22C (also referred to as “channels” below) are formed from the first semiconductor layers, and second nanostructures 24A-24C are formed from the second semiconductor layers. In some embodiments, the nanostructures 22, 24 are formed to extend along a first direction (e.g., X-direction) and to be arranged in parallel to one another in a second direction (e.g., Y-direction) perpendicular to the first direction. In some further embodiments, nanostructures 22, 24 are patterned to have rectangular cross-sectional shapes having substantially vertical sidewalls. However, it is understood that the nanostructures 22, 24 may include tapered sidewalls.
Isolation regions 30, which may be shallow trench isolation (STI) regions, are formed between adjacent fins 20. In some embodiments, the isolation regions 30 are formed by depositing an insulation material, such as oxide and/or nitride, over the substrate 10, the fins 20, and the nanostructures 22, 24. The deposition of the insulation material may include using a high-density plasma CVD (HDP-CVD) process, a flowable CVD (FCVD) process, the like, or a combination thereof. The insulation material is then planarized and recessed to form the isolation regions 30. In some embodiments, the planarization is performed using a chemical mechanical polish (CMP) process and/or an etch back process, and the recessing of the insulation material is performed using an acceptable etching process, such as an oxide removal process using diluted hydrofluoric acid (dHF). After the recessing, the nanostructures 22, 24 and upper portions of the fins 20 may protrude from adjacent isolation regions 30 as shown in
In some further embodiments, appropriate wells (not shown) may be formed in the fins 20, the nanostructures 22, 24, and/or the isolation regions 30. For example, an n-type impurity implantation is performed in p-type regions of the substrate 10, and a p-type impurity implantation is performed in n-type regions of the substrate 10. An annealing process may be performed after the implantations to repair implant damage and to activate the p-type and/or n-type impurities.
Referring to
Thereafter, a dummy gate material layer is deposited on the dielectric layer 27 over the nanostructures 22, 24 and the isolation regions 30, in accordance with some embodiments. The dummy gate material layer may include conductive, semi-conductive, or non-conductive material. For example, the dummy gate material layer includes amorphous silicon, polycrystalline-silicon (polysilicon), poly-crystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, or metals. A mask material layer may be formed over the dummy gate material layer, and may include silicon nitride, silicon oxynitride, or the like. In some embodiments, the dummy gate material layer and the mask material layer are formed by physical vapor deposition (PVD), CVD, sputter deposition, or other suitable techniques.
The dummy gate material layer and the mask material layer are then patterned to form a plurality of discrete (i.e., separate) dummy gate structures 40 cach including a dummy gate layer 41 and a mask layer 43, in accordance with some embodiments. In some embodiments, the dummy gate structures 40 are formed to extend along the second direction (e.g., Y-direction) and to be arranged in parallel to one another in the first direction (e.g., X-direction). Next, spacers 45 may be formed on sidewalls of each dummy gate structure 40 (i.e., sidewalls of the dummy gate layer 41 and the mask layer 43). The spacers 45 are, for example, made of an insulation material, such as silicon nitride, silicon oxide, silicon carbo-nitride, silicon oxynitride, silicon oxy carbo-nitride, or the like. The spacers 45 may be formed by depositing a spacer material layer (not shown) over the dummy gate structures 40. Portions of the spacer material layer are removed using an anisotropic etching process, leaving the spacers 45 on sidewalls of cach dummy gate structure 40, in accordance with some embodiments.
Still referring to
Referring to
In some embodiments, a dielectric layer 53 is formed to conformally cover the exposed surfaces of the elevated epitaxial structures 52 and the recessed isolation regions 30. The dielectric layer 53 may be formed of oxides, such as silicon oxide (SiO), aluminum oxide (AlO), zirconium oxide (ZrO), hafnium oxide (HfO), titanium oxide (TiO), zirconium aluminum oxide (ZrAlO), zinc oxide (ZnO); nitrides, such as silicon nitride (SiN); oxynitrides, such as aluminum oxynitride (AlON); SiCN, SiOCN; or the like. The dielectric layer 53 may be formed by CVD, plasma-enhanced CVD (PECVD), ALD, or any suitable deposition technique.
In some embodiments, thereafter, source/drain structures 54 are formed on the dielectric layer 53 over the elevated epitaxial structures 52. In some embodiments, the source/drain structures 54 are formed by an epitaxial growth process and include an angled, curved or irregular profile. For example, the source/drain structures 54 are illustrated with a hexagonal-shaped profile in
In some embodiments, the source/drain structures 54 may include any acceptable material, for example, appropriate for n-type or p-type devices. For n-type devices, the source/drain structures 54 may include materials exerting a tensile strain in the channel regions, such as silicon, SiC, SiCP, SiP, or the like. For P-type devices, the source/drain structures 54 include materials exerting a compressive strain in the channel regions, such as SiGe, SiGeB, Ge, GeSn, or the like, according to some embodiments. Alternatively, adjacent source/drain structures 54 may merge to form a singular source/drain region alongside two or more adjacent nanostructures 22, 24. Furthermore, in some embodiments, the source/drain structures 54 are implanted with dopants and then undergo an annealing process. In some embodiments, the source/drain structures 54 are in-situ doped during growth.
Turning to
Referring to
Referring to
After the deposition of the gap-fill material, a planarization process is performed to expose the dummy gate layers 41, the spacers 45, and the CESL 55. In one embodiment, the planarization process includes CMP or grinding process. After the CMP process, the remained gap-fill material in the trenches TR1 and over the ILD layer 60 form hard mask layers 65. In some embodiments, the hard mask layer 65 is used to protect the underlying ILD 60 from damage during a subsequent gate replacement process. As shown in
Referring to
Next, in some embodiments, the dielectric layer 27 conformally formed on the nanostructures 22, 24 is removed using plasma dry etching and/or wet etching, for example. In some embodiments, the removal of the dielectric layer 27 causes damages to the insulation material of the isolation regions 30, thereby partially recessing the isolation regions 30. The removal of the dummy gate layers 41 and the dielectric layer 27 together form trenches (not shown) exposing the nanostructures 22, 24, the isolation regions 30 and the outer sidewalls of the spacers 45.
In some embodiments, the second nanostructures 24A-24C are then removed from the trenches (not shown), while the first nanostructures 22A-22C are remained. In some embodiments, the removal of the second nanostructures 24A-24C results in multiple gaps formed between the first nanostructures 22A-22C connecting to the source/drain structures 54. Accordingly, each of the first nanostructures 22A-22C has surfaces (e.g., top surface and bottom surface) exposed by the gaps, and the exposed surfaces are opposite to each other and are perpendicular to the longitudinal direction (e.g., the Z-direction). In some embodiments, the exposed surfaces will be surrounded by a subsequently formed gate layer, and each of the first nanostructures 22A-22C forms a nanosheet channel of the nanoshect transistor. In some embodiments, the first nanostructures 22 may be referred to as nanostructure stacks cach including a plurality of nanostructures (e.g., first nanostructures 22A-22C) stacked over one another.
In some embodiments, the second nanostructures 24A-24C are removed using any suitable selective removal process, such as a selective wet etching process and a selective dry etching process. After the formation of the nanosheet channels (i.e., the first nanostructures 22A-22C), a gate dielectric layer 72 is conformally formed on surfaces exposed by the trenches and gaps, in accordance with some embodiments. For example, the gate dielectric layer 72 is formed to wrap around each of the first nanostructures 22A-22C and to cover exposed surfaces of the isolation regions 30 and the fins 20 and the sidewalls of the spacers 45. In some embodiments, the gate dielectric layer 72 includes one or more layers of a dielectric material, such as silicon oxide, silicon nitride, high-K dielectric material, other suitable dielectric material, and/or a combination thereof. Examples of high-K dielectric material include HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, titanium oxide, hafnium dioxide-alumina (HfO2—Al2O3) alloy, other suitable high-K dielectric materials, and/or a combination thereof. In one embodiment, the gate dielectric layer 72 is formed using a conformal deposition process, such as ALD to ensure that a gate dielectric layer of uniform thickness is formed around each of the first nanostructures 22A-22C.
In some embodiments, a gate layer 74 is formed on the gate dielectric layer 72 to surround a portion of each of the first nanostructures 22A-22C, and further fill the trenches and the gaps. For example, the gate layer 74 is deposited until top surfaces of the spacers 45, the CESL 55. the ILD layer 60, and the hard mask layers 65 are covered. In some embodiments, the gate layer 74 includes one or more layers of metallic materials, such as aluminum, copper, titanium, tantalum, tungsten, cobalt, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloys, and/or any combinations thereof. In some embodiments, the gate layer 74 includes a conductive material, such as polysilicon. For example, the gate layer 74 may be formed by CVD, ALD, electro-plating, or other suitable deposition technique.
In some embodiments, as the gap-fill material of the hard mask 65 is void-free and seam-free, no metal penetration or diffusion occurs in the gap-fill material during the formation of the metallic gate layer of the gate replacement process. Hence, the gap-fill material of the hard mask 65 provides better masking effect or better protection for the underlying layer(s), thereby improving the device performance.
In some embodiments, a planarization process is performed on the semiconductor device structure 100 shown in
Referring to
Referring to
To form the gate cut feature, a gate cut trench (or opening) TR2 separating the gate electrode layer 70 is first formed, as illustrated in
Thereafter, the gate cut trench TR2 is filled with a gap-fill material using a bottom-up gap filling deposition process followed by a planarization process. In some embodiments, the gap-fill material includes an insulation material such as silicon nitride. The bottom-up deposition process may be performed until a top surface of the hard mask layer 80 is covered with the gap-fill material (e.g., silicon nitride). The bottom-up gap filling deposition process for filling in the gate cut trench TR2 is similar to the gap filling deposition process of the trench TR1, which will be described later with reference to
In some embodiments, the subsequent planarization process includes CMP or grinding process. The remaining gap-fill material in the gate cut trench TR2 forms the gate cut feature 85. As shown in
Turning to
Referring to
In some embodiments, thereafter, the trench TR3 is filled up with a gap-fill material (i.e. an insulating material) using a bottom-up deposition process followed by a planarization process. In some embodiments, the gap-fill material is or includes silicon nitride. In some embodiments, the bottom-up deposition process is performed until a top surface of the fourth hard mask layer 90 is covered with the gap-fill material (e.g., silicon nitride). The bottom-up gap filling deposition process for filling in the trench TR3 is similar to those processes for filling the trench TR1 and the gate cut trench TR2 which will be described later with reference to
In some embodiments, the subsequent planarization process includes CMP or grinding process. The remained gap-fill material in the trench TR3 forms the isolation structure 95, which serves as a non-functional isolation structure. As shown in
Referring to
Up to here, a transistor layer of a semiconductor device structure 100 is fabricated. The transistor layer here generally refers to the layer formed over the substrate 10 as illustrated in
Furthermore, after the transistor layer is formed, conductive features such as source/drain contact structures, gate contact structures, and other contacts may be formed over the transistor layer to enable electrical connection to the transistor (e.g., within the transistor layer) of the semiconductor device structure 100.
Referring to
For ease of understanding, the semiconductor device structure 200 in
As shown in steps (b)-(d) of
In step (b) and referring to
By implementing such bottom-up mechanism of the flowable CVD to fill or refill the trenches TR with a gap-fill material (i.e., deposited nitride-based polymer 204), the formation of voids or vertical seams can be avoided in as-formed gap-fill material, thereby offering better isolation and improving device performance and yield. Compared to other deposition processes such as ALD and PEALD, the flowable CVD is usually performed at a lower temperature (for example, about 65° C.) with a lower thermal budget, which can effectively reduce the diffusion of the dopants within the transistor layer. In addition, the gap filling process using the flowable CVD also prevents plasma damages to the under-layer(s), when compared with other plasma assisted deposition processes such as plasma enhanced CVD (PECVD) or plasma-enhanced ALD (PEALD). In some embodiments, further modification of the deposited nitride-based polymer 204 may be necessary because the chemical bonding of the short-chain polymer only includes hydrogen bonding (e.g., through van der Waal forces).
In step (c), the deposited nitride-based polymer 204 is subjected to an UV curing using a UV light with wavelength of about 100 nm to about 400 nm. In some embodiments, the UV curing is performed at a temperature ranging from about 0° C. to about 200° C. and under a pressure ranging from about a few Torr to about 30 Torr. A diluted gas such as Ar, He, hydrogen (H2), neon (Ne), krypton (Kr), and xenon (Xe) may be used during the UV curing. In one embodiment, a pure Ar environment is provided for the UV curing to minimize an out-gassing effect. The nitride-based polymer can be fully cured and the crosslinked by using UV light with high reactivity and penetration capability. In addition, the UV curing is often carried out at a low temperature such as 10° C. and thus the thermal budget can be reduced. According to some embodiments, the UV curing also contributes to reducing impurities in the nitride-based polymer 204. The cured silicon nitride film 204′ may exhibit a solid-like nature after the UV curing.
In step (d), a nitrogen plasma treatment is performed to further densify the cured silicon nitride film 204′ to become the treated silicon nitride film 204″. In some embodiments, the nitrogen plasma treatment injects nitrogen into the material, drives impurities out of the film, and increases nitrogen/silicon (N/Si) ratios of the cured silicon nitride film 204′, which significantly improves the film quality. In some embodiments, the nitrogen plasma treatment includes a single-step process or a multi-step process. For example, microwave (MW), Electron Cyclotron Resonance (ECR), Capacitively Coupled Plasma (CCP), and Inductively Coupled Plasma (ICP) can be used for the nitrogen plasma treatment. The nitrogen source may be nitrogen ion (N, N2), nitrogen radical (N·, N2·), NH ion (NH, NH2, NH3), and/or NH radical (NH·, NH2·, NH3·). In some embodiment, a diluted gas such as Ar, He, H2, Ne, Kr, and Xe may be used during the nitrogen plasma treatment.
In some embodiments, the MW plasma treatment is performed with a process temperature of about 200°° C. to about 500° C. and a process pressure of about 1 milli-torr (mTorr) to about 5 mTorr. In some embodiments, the ECR plasma treatment is performed with a process temperature of about 0°° C. to about 200° C. and a process pressure of about 1 mTorr to about 5 mTorr. In some embodiments the ICP or CCP plasma treatment is applied with a process temperature of about 300° C. to about 700° C. and a process pressure of about 1 mTorr to about 22 mTorr.
Furthermore, compared with the untreated silicon nitride film, the treated silicon nitride film 204″ may exhibit higher film density and higher etching resistance. For example, the treated silicon nitride film 204″ may have a film density of about 2.4 g/cm3 to about 2.6 g/cm3, and a wet etching rate under 0.1% diluted hydrofluoric acid (dHF) less than 10 Å/min. As the nitrogen plasma used in the treatment may be directional, for example plasma treatment (nitrogen injection) in a direction from the top to the bottom of the trench, the treated silicon nitride film 204″ may have a nitrogen content gradient. As seen in the right part of
In accordance with an embodiment of the disclosure, a method for forming a semiconductor device structure is described. The method at least includes the following steps. A transistor layer is formed over a substrate and a trench is formed in the transistor layer. A depth to width ratio of the trench is greater than or equal to 3. The trench is then filled with a gap-fill material using a flowable chemical vapor deposition process, wherein a precursor and a reactant are used in the flowable chemical vapor deposition process, and a ratio of the precursor to the reactant is about 1.
In accordance with an embodiment of the disclosure, a method for forming a semiconductor device structure is described. The method at least includes the following steps. A transistor layer is formed over a substrate, wherein the transistor layer includes a plurality of nanostructures, source/drain structures aside the nanostructures, and gate structure around the nanostructures. A non-functional structure is then formed in the transistor layer, the formation of the non-functional structure at least includes the following steps. The transistor layer is patterned to form a trench for accommodating the non-functional structure, and a silicon nitride layer is formed to fill the trench using a bottom-up deposition process, wherein a wet etching rate of the silicon nitride layer to 0.1% diluted hydrofluoric acid is less than 10Å/min.
In accordance with yet another embodiment of the disclosure, a semiconductor device structure is described. The semiconductor device structure includes a plurality of nanostructure stacks, and each of the nanostructure stacks including nanostructures stacked over one another. The semiconductor device structure further includes source and drains between adjacent nanostructure stacks, a gate electrode layer surrounding the nanostructures, and a silicon nitride layer vertically extending through gate electrode layer. The silicon nitride layer has a nitrogen content gradient.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.