The electronics industry has experienced an ever-increasing demand for smaller and faster electronic devices that are simultaneously able to support a greater number of increasingly complex and sophisticated functions. To meet these demands, there is a continuing trend in the integrated circuit (IC) industry to manufacture low-cost, high-performance, and low-power ICs. Thus far, these goals have been achieved in large part by reducing IC dimensions (for example, minimum IC feature size), thereby improving production efficiency and lowering associated costs. However, such scaling has also increased complexity of the IC manufacturing processes. Thus, realizing continued advances in IC devices and their performance requires similar advances in IC manufacturing processes and technology.
As technology progresses, the concerns regarding thermal dissipation of heat generated by semiconductor devices, such as transistors, increase as higher temperatures may degrade device performance. The situation is further complicated in semiconductor devices that include multi-die configurations, such as a stacked die configuration, which further limit thermal dissipation paths. Therefore, although existing semiconductor device structures have generally been adequate for their intended purposes, they have not been entirely satisfactory in every aspect.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. It is also emphasized that the figures appended illustrate only typical embodiments of this invention and are therefore not to be considered limiting in scope, for the invention may apply equally well to other embodiments. Further, the accompanying figures may implicitly describe features not explicitly described in the detailed description.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range considering variations that inherently arise during manufacturing as understood by one of ordinary skill in the art. For example, the number or range of numbers encompasses a reasonable range including the number described, such as within +/−10% of the number described, based on known manufacturing tolerances associated with manufacturing a feature having a characteristic associated with the number. For example, a material layer having a thickness of “about 5 nm” can encompass a dimension range from 4.5 nm to 5.5 nm where manufacturing tolerances associated with depositing the material layer are known to be +/−10% by one of ordinary skill in the art. Further, disclosed dimensions of the different features can implicitly disclose dimension ratios between the different features. Still further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
The present disclosure relates to semiconductor structures, such as integrated circuit (IC) structures comprising one or more dies to form the semiconductor structure. These structures may be referred to as 3D ICs as they integrate ICs in a vertical direction in part by stacking dies. The components of the stacked structure may be connected physically and/or through electrical connections. 3D ICs provide for form factor advantages and power and performance advantages including due to the interconnect lengths between the stacked devices. One application of a 3D IC structure is a processor and one or more memory chips vertically stacked. However, the semiconductor structures, including 3D ICs, can experience challenges with thermal dissipation from within the structure.
Thus, some of the embodiments presented herein provide for semiconductor structures to include thermal dissipation layers, also referred to as heat spreading layers, to provide for thermal dissipation paths. In some implementations, a thermal conductive material is implemented as a thermal dissipation layer to provide a high thermal conductivity in a 3D IC. In the context of the present disclosure, the terms “conductive” and “conductivity” specifically refer to “electrically conductive” and “electrical conductivity,” respectively, to distinguish from the terms “thermal conductive” and “thermal conductivity.” A thermal conductive material, as used herein, is defined as a material with a thermal conductivity of not less than 10 W/m·K (Watts per meter-Kelvin). In some embodiments, the thermal conductive material may include boron nitride (BN) (such as hexagonal-BN (h-BN) or cubic-BN (c-BN)), aluminum nitride (AIN), graphene, carbon, diamond, diamond-like carbon, benzoic acid (BA), transition metal dichalcogenides (TMDs) (e.g., MoS2, MoSe2, WS2 or WSe2), or the like. Thus, the present disclosure provides benefits for structures and methods that allow for high thermal conductivity between layers of the semiconductor structure (e.g., between dies) for thermal management.
In the following description, front-end-of-the-line (FEOL) generally refers to portions of the circuit where functional devices such as logic and memory devices are formed. The FEOL features include the transistors and features thereof such as source/drain features, channel regions, gate structures. Device-level contacts or metal features extend to the terminals of the transistor. Back-end-of-the-line (BEOL) in the present disclosure generally refers to components formed after the FEOL features and include a multi-layer interconnects (MLI). The MLI provide for a plurality of metal lines (also referred to as interconnect lines) and interposing vias that provide electrical connections including to the FEOL features. The metal lines provide for horizontal routing and the vias provide for a vertical routing to connect metal lines at different metal layers. Any number of metal layers may be used including for example, exemplary MLI may include five (5) or more metal lines vertically stacked typically referred to as M1, M2, M3, M4, M5, and so forth. The MLI includes dielectric or insulating materials that surround the metal lines and vias to provide for suitable structural support. The dielectric or insulating materials can be referred to as an inter-metal dielectric (IMD) as discussed below.
As will be described further below with respect to various embodiments, the semiconductor structure 100 is formed on a semiconductor structure that has undergone FEOL processes. Such FEOL processes may form various transistors on the substrate to serve different functions. For example, these various transistors may form a central processing unit (CPU), a graphics process unit (GPU), access transistors for memory devices, image signal processing (ISP) circuitry, and/or other suitable circuitry. The transistors may be planar transistors or multi-gate transistors. A planar device refers to a device having a gate structure that engages a planar surface of a semiconductor active region. A multi-gate device generally refers to a device having a gate structure, or portion thereof, disposed over more than one side of a channel region. Fin-like field effect transistors (FinFETs) and gate-all-around (GAA) transistors are examples of multi-gate devices that have become popular and promising candidates for high performance and low leakage applications. A FinFET has an elevated channel wrapped by a gate on more than one side (for example, the gate wraps a top and sidewalls of a “fin” of semiconductor material extending from a substrate). A GAA transistor has a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on two or more sides. Because its gate structure surrounds the channel regions, a GAA transistor may also be referred to as a surrounding gate transistor (SGT) or a multi-bridge-channel (MBC) transistor. The channel region of a GAA transistor may be formed from nanowires, nanosheets, or other nanostructures and for that reason, a GAA transistor may also be referred to as a nanowire transistor or a nanosheet transistor. The transistors are referred to herein generally, and each of the configurations discussed applies to the embodiments herein.
The semiconductor structure 100 includes a multi-layer interconnect (MLI) structure that includes multiple metal layers and is part of the BEOL as discussed above. One metal layer 102 of the MLI is illustrated. In some implementations, the metal layer 102 is a top or uppermost metal layer of the MLI. In some implementations, the metal layer 102 is a middle metal layer of the MLI, such as a metal layer at or above M3 but under the uppermost metal layer of the MLI. In some embodiments, the semiconductor structure 100 is formed on a semiconductor substrate that includes silicon (Si). Alternatively or additionally, substrate includes another elementary semiconductor, such as germanium (Ge); a compound semiconductor, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor, such as silicon germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In some implementations, the substrate includes one or more group III-V materials, one or more group II-IV materials, or combinations thereof. In some implementations, the substrate is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GeOI) substrate. Semiconductor-on-insulator substrates can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
The MLI of the semiconductor structure 100 includes a plurality of metal lines or layers in the MLI, for example, an MLI may typically include about five (5) to about twenty (20) metal layers (or metallization layers). Each of the metal layers of the MLI include multiple vias and metal lines embedded in a dielectric or insulating layer, which may also be referred to herein as an intermetal dielectric (IMD) layer. The vias and metal lines may be formed of titanium (Ti), ruthenium (Ru), nickel (Ni), cobalt (Co), copper (Cu), molybdenum (Mo), tungsten (W), or aluminum (Al). In an embodiment, they are formed of copper (Cu). The IMD layer may include silicon oxide, tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass (USG), or doped silicate glass such as borophosphosilicate glass (BPSG), fused silicate glass (FSG), phosphosilicate glass (PSG), boron doped silicate glass (BSG), and/or other suitable dielectric materials. In one embodiment, the IMD layer includes silicon oxide.
Illustrated in
In the semiconductor structure 100, on the metal layer 102 is a thermal dissipation layer 104. The thermal dissipation layer 104 is made of a thermal conductive material, such as boron nitride (BN), aluminum nitride (AIN), graphene, carbon, diamond, diamond-like carbon, benzoic acid (BA), transition metal dichalcogenides (TMDs) (e.g., MoS2, MoSe2, WS2 or WSe2), or the like. The thermal dissipation layer 104 may have a thickness of between approximately 0.1 microns (μm) and 10 μm. The thermal dissipation layer 104 may be deposited at a temperature less than or equal to approximately 400 degrees Celsius. Exemplary deposition methods include chemical vapor deposition (CVD), physical vapor deposition (PVD), and atomic layer deposition ALD. The temperature during deposition process being less than or equal to approximately 400 degrees Celsius is not trivial or arbitrary. This is because if the temperature exceeds 400 degrees Celsius, the high temperature may damage the BEOL features, particularly the dielectric material used in the IMD of the MLI. Yet, thermal conductive material generally requires being deposited in a high temperature environment typically exceeding 400 degrees Celsius for ensuring crystal quality and thus achieving a high thermal conductivity. A low temperature deposition process may generally lead to a resulting thermal dissipation layer suffering from low crystal quality and thus low thermal conductivity. As to be discussed in further details below, the present disclosure further applies a post-deposition thermal treatment for implementing a local annealing process to the thermal dissipation layer 104. The annealing process is “local” as the high temperature region exceeding 400 degrees Celsius is constrained within the thermal dissipation layer 104 without causing the temperature in the underneath MLI to rise above 400 degrees Celsius.
The transient heat treatment improves the thermal conductivity of the thermal dissipation layer 104. In one aspect, the transient treatment improves the thermal conductivity by improving the thermal coupling at the grain boundaries and/or by treatment of dangling bonds and defects. In another aspect, the transient heat treatment improves the thermal conductivity by inducing melting or amorphous to crystalline transition or phase change in the thin film material. In one example, the thermal conductive material deposited during the low-temperature deposition process is boron nitride in amorphous form (a-BN), and converted to hexagonal from (h-BN), cubic form (c-BN), or a combination of hexagonal and cubic forms, which possesses a much higher thermal conductivity. In yet another aspect, the transient heat treatment improves the thermal conductivity by improving crystallinity and orientation alignment. In furtherance, the top surface of the semiconductor structure 100 may be pre-patterned prior to the deposition of the thermal conductive material to induce preferential crystal orientation during the transient laser heating. The pre-patterning increases a surface roughness of the top surface of the semiconductor structure 100.
Reference is now made to
The formation flow of the thermal dissipation layer 104 as illustrated in
In some embodiments, the thermal dissipation layer 104 as shown in
Referring now to
In an embodiment of the method 200, in a block 202, a semiconductor device such as a transistor is formed on a substrate. The device may be a portion of an IC. Referring to the example of
The source/drain regions 306 may be doped regions and/or epitaxially grown regions defining the source/drain feature associated with a gate structure 308 of the semiconductor device. The source/drain regions 306 may be deposited using vapor-phase epitaxy (VPE), ultra- high vacuum CVD (UHV-CVD), molecular beam epitaxy (MBE), and/or other suitable processes. When source/drain region 306 is n-type, it may include silicon (Si) doped with an n-type dopant, such as phosphorus (P) or arsenic (As). When a source/drain region 306 is p-type, it may include silicon germanium (SiGe) doped with a p-type dopant, such as boron (B) or boron difluoride (BF2). In some embodiments, the source/drain regions 306 may include multiple layers such as layers with different dopant concentrations.
The gate structure 308 includes an interfacial layer, a gate dielectric layer, and a gate electrode. The interfacial layer of the gate structures 308 may include a dielectric material such as silicon oxide, hafnium silicate, or silicon oxynitride. The interfacial layer may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable method. The gate dielectric layer may be formed on the interfacial layer. The gate dielectric layer may include a high-k dielectric material, such as hafnium oxide. Alternatively, the gate dielectric layer of the gate structures 308 may include other high-K dielectric materials, such as titanium oxide (TiO2), hafnium zirconium oxide (HfZrO), tantalum oxide (Ta2O5), hafnium silicon oxide (HfSiO4), zirconium oxide (ZrO2), zirconium silicon oxide (ZrSiO2), lanthanum oxide (La2O3), aluminum oxide (Al2O3), zirconium oxide (ZrO), yttrium oxide (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), (Ba,Sr)TiO3 (BST), silicon nitride (SiN), silicon oxynitride (SiON), combinations thereof, or other suitable material. The gate dielectric layer may be formed by ALD, physical vapor deposition (PVD), CVD, oxidation, and/or other suitable methods. The gate electrode layer of the gate structures 308 may include a single layer or alternatively a multi-layer structure, such as various combinations of a metal layer with a selected work function to enhance the device performance (work function metal layer), a liner layer, a wetting layer, an adhesion layer, a metal alloy, or a metal silicide. By way of example, the gate electrode layer may include titanium nitride (TiN), titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), tantalum nitride (TaN), tantalum aluminum (TaAl), tantalum aluminum nitride (TaAlN), tantalum aluminum carbide (TaAlC), tantalum carbonitride (TaCN), aluminum (Al), tungsten (W), nickel (Ni), titanium (Ti), ruthenium (Ru), cobalt (Co), platinum (Pt), tantalum carbide (TaC), tantalum silicon nitride (TaSiN), copper (Cu), other refractory metals, or other suitable metal materials or a combination thereof.
A dielectric layer 310, also referred to as an inter-layer dielectric (ILD) layer, may be formed on the substrate 302 and adjacent the gate structures 308. The ILD layer 310 may be deposited using PECVD, FCVD, spin-on coating, or a suitable deposition technique. In some embodiments, after formation of the ILD layer 310, the structure may be annealed to improve integrity of the ILD layer 310. Although not explicitly shown in figures it is understood a contact etch stop layer (CESL) may be deposited before the ILD layer 310 is deposited such that the CESL is disposed between the ILD layer 310 and the source/drain features. The CESL may include silicon nitride or silicon oxynitride and may be deposited using CVD, ALD, or a suitable method.
Contact structures 311 extend through the ILD layer 310 to the source/drain regions 306 and the gate structure 308. The contact structures 311 may be referred to as middle-end-of-the-line (MEOL) structures. The contact structures 311 may include ruthenium (Ru), cobalt (Co), nickel (Ni), tungsten (W), copper (Cu), or other metals, as examples. In some embodiments, the contact structures 311 may include a barrier layer to interface the ILD layer 310. Such a barrier layer may include a metal nitride, such as titanium nitride, tantalum nitride, tungsten nitride, cobalt nitride, or nickel nitride. Additionally, in order to reduce contact resistance, a silicide feature may be a portion of the contact structures 311 and interface the transistor feature such as gate structure 308. The silicide feature may include titanium silicide. The contact structures 311 may be deposited using CVD, PVD, or a suitable method.
The method 200 then proceeds to block 204 where a multi-layer interconnect (MLI) is formed over the device. Referring to the example of
The method 200 then proceeds to block 206 where a thermal dissipation layer is formed over and/or within the multi-layer interconnect. Referring to the example of
In an embodiment, the method 200 proceeds to block 208 where a planarization is performed on the thermal dissipation layer 314. In some implementations, the planarization may include a chemical mechanical planarization (CMP) process or ion milling. In an embodiment, the surface of the thermal dissipation layer 314 has a roughness root mean square (RMS) of between 5 nm and 100 nm before the planarization process or ion milling process and an RMS of less than approximately 1 nm after planarization.
In other examples the material suitable for the thermal dissipation layer 314 (e.g., boron nitride or diamond-like carbon) may also be used as one or more IMD layers 312C within the MLI 312, such as shown in
In an embodiment of the method 200, the method proceeds to block 210 where an additional interconnect features are formed in the thermal dissipation layer 314. Referring to
In some implementations, the method 200 continues to block 212 to treat the surface of the thermal dissipation layer 314. The treatment may include preparing the surface for bonding with additional layers or features. In some implementations, a surface treatment or activation process is performed on the thermal dissipation layer 314 such as a cleaning process and/or a plasma treatment. In some implementations, block 212 is omitted.
In some implementations, the method 200 continues to block 214 where additional layers or features are formed on the structure. The additional layers or features may include other semiconductor structures such as other die(s), heat sinks, package features such as input/output terminals (e.g., balls, bumps, pillars), substrates such as semiconductor substrates or interposer substrates, carrier substrates, and/or various other features including those implementing 3D IC structures.
Referring now to
Referring to the example of
Referring to the example of
Referring to the example of
Referring to the example of
Thus, provided are structures and methods that allow for stacking features such as in a 3D IC configuration having a thermal dissipation layer within the stack. Although not limiting, the present disclosure offers advantages for IC semiconductor structures with thermal dissipation layers compatible with low-temperature BEOL processes. In furtherance, the proposed thermal dissipation layer may also serve as a bonding layer.
One aspect of the present disclosure pertains to a method of semiconductor device fabrication. The method includes forming a transistor on a semiconductor substrate, forming a first metal layer and an overlying second metal layer over the transistor, depositing a thermal dissipation layer over the overlying second metal layer, and annealing the thermal dissipation layer to a temperature above the threshold temperature. The depositing of the thermal dissipation layer is performed below a threshold temperature. During the annealing, the first metal layer and the overlying second metal layer are maintained below the threshold temperature. In some embodiments, the annealing includes a transient heat treatment. In some embodiments, the annealing includes applying a pulsed laser to locally heat up the thermal dissipation layer. In some embodiments, the threshold temperature is about 400 degrees Celsius. In some embodiments, the annealing increases a thermal conductivity of the thermal dissipation layer. In some embodiments, the method further includes prior to the annealing, depositing a capping layer over the thermal dissipation layer, and after the annealing, removing the capping layer. In some embodiments, the capping layer includes at least one of Ti, Al, Ni, silicon glass, Cr, Al2O3, SiO2, carbon, or a metal. In some embodiments, the thermal dissipation layer includes at least one of boron nitride, aluminum nitride, graphene, carbon, diamond, diamond-like carbon, benzoic acid, or transition metal dichalcogenide. In some embodiments, the method further includes forming a via extending through the thermal dissipation layer. In some embodiments, the method further includes stacking a die above the thermal dissipation layer. The via provides electrical coupling between the transistor and the die.
Another aspect of the present disclosure pertains to a method. The method includes forming a transistor device on a substrate, forming a multi-layer interconnect (MLI) over the transistor device, depositing a thermal conductive material on the MLI, after the depositing of the thermal conductive material, performing a thermal treatment to the thermal conductive material to increase a thermal conductivity of the thermal conductive material, and planarizing the thermal conductive material. In some embodiments, the thermal treatment includes applying a pulsed laser. In some embodiments, during the thermal treatment, a temperature inside the MLI is maintained below about 400 degrees Celsius. In some embodiments, the thermal treatment enlarges a grain size of the thermal conductive material. In some embodiments, the thermal treatment converts the thermal conductive material form an amorphous state to a crystalline state. In some embodiments, the thermal treatment increases a crystal orientation consistency inside the thermal conductive material. In some embodiments, the method further includes prior to the thermal treatment, depositing a capping layer over the thermal conductive material, and after the thermal treatment, removing the capping layer.
Another aspect of the present disclosure pertains to a method of forming an integrated circuit (IC) structure. The IC structure includes a transistor device formed on a substrate, the transistor device having source/drain (S/D) regions and a gate structure, a multi-layer interconnect (MLI) structure over the transistor device, the MLI structure including metal lines and metal vias embedded in an intermetal dielectric (IMD) layer, and a thermal dissipation layer disposed over at least a portion of the MLI structure. A top portion of the thermal dissipation layer has a grain size larger than a bottom portion of the thermal dissipation layer. In some embodiments, the thermal dissipation layer includes through-vias electrically coupled to the MLI structure. In some embodiments, the IC structure further includes a die bonded to the thermal dissipation layer. The through-vias provide electrical connection between the MLI structure and the die.
The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application claims the benefit of U.S. Provisional Application No. 63/596,356, filed Nov. 6, 2023, the entirety of which is herein incorporated by reference.
Number | Date | Country | |
---|---|---|---|
63596356 | Nov 2023 | US |