Series chamber for substrate processing

Abstract
Apparatus and methods provide a module defining processing regions in which substrates can be processed. One embodiment of the module has a serial arrangement of processing regions, where a first processing region is disposed at a front end portion of the module and a second processing region is defined at a back end portion of the module. A substrate transfer passageway fluidly communicates the first and second processing regions.
Description


BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention


[0002] The present invention relates to the field of substrate processing. Specifically, the present invention related to substrate processing in one or more processing chambers and associated cluster tools.


[0003] 2. Background of the Related Art


[0004] The substrate processing industry has seen continual improvement in methods and systems for producing substrates. A significant improvement in the field of substrate processing occurred with the introduction of integrated processing systems, such as a modular, multi-chamber system, known as a cluster tool. Two examples for commercially available substrate processing platforms are an Endura® platform and a Centura® platform offered by Applied Materials, Inc. of Santa Clara, Calif. The cluster tool typically includes a central substrate handling chamber (known as a transfer chamber) and a number of peripheral vacuum process chambers. The substrate is processed at various processing stations without being exposed to ambient conditions. The transfer of the substrates is managed by a centralized robot disposed in the transfer chamber that is also maintained under vacuum conditions. Integrated substrate processing system tools can also include other architectures, such as inline systems.


[0005] Further improvements in substrate processing efficiency have been achieved in a parallel processing system, known as the Producer™ system, also available from Applied Materials, Inc. The Producer™ system generally includes a load lock chamber coupled to a transfer chamber with one or more processing modules. Each process module defines a pair of isolated processing regions arranged in tandem (i.e., side-by-side) so that each processing region is connected to the transfer chamber. Substrates are transferred in pairs to each processing region directly from the transfer chamber by the centralized robot equipped with a twin substrate-carrying blade. Such a parallel design achieves significant increases in throughput (i.e., the number of substrates processed per unit time) over single processing region configurations, such as are currently found on the Endura® and Centura® platforms. Details of the Producer™ system can be found in U.S. Pat. No. 5,855,681, issued to Applied Materials, Inc. on Jan. 5, 1999.


[0006] However, in some applications, the space requirements (referred to as the “footprint”) for parallel processing systems, such as the Producer™ system are not available. Further, the use of such parallel systems generally requires an entire replacement of the system. Retrofits of a multiple processing region chamber to an existing system having a transfer chamber architecture for mounting a single processing chamber thereto are not available.


[0007] Therefore, there is a need for an improved substrate processing system and method which provides for high throughput. Preferably, the system and method uses advantages found in systems having single substrate processing regions and is sufficiently flexible to retrofit such existing systems.



SUMMARY

[0008] In one embodiment, a substrate processing module comprises a body defining a first processing region at a front end portion and a second processing region at back end portion, and further defining a substrate transfer opening between the first processing region and the second processing region to allow transfer of a substrate between the processing regions. A substrate handler is disposed on the body and comprises a substrate support arm positionable in the first and second processing regions. A mounting surface formed at the front end portion includes an opening adapted to allow transfer of a substrate between the first processing region and a region external to the body.


[0009] In another embodiment, a system for processing substrates comprises a transfer chamber defining an enclosure and having a first opening formed in a sidewall of the transfer chamber registered with a second opening defined by a processing chamber. The processing chamber defines a first processing region and an adjacent second processing region communicable through a substrate transfer passageway. The first processing region is disposed between the transfer chamber and the second processing region and is communicated with the enclosure via the first and second openings.


[0010] In yet another embodiment, a system for processing substrates comprises a transfer chamber defining an enclosure and a first opening and a processing module interfaced with the transfer chamber. The module comprises a body having a front end portion defining a first processing region and a back end portion defining a second processing region, wherein the front end portion defines a second opening registered with the first opening to form an aperture sized to accommodate substrate transfer therethrough. The module further comprises a substrate handler disposed on the body and comprising a substrate support arm positionable alternatively in the first processing region and the second processing region.


[0011] In still another embodiment, a method for transferring substrates is provided. The method comprises a) transferring a first substrate from a transfer chamber into a first processing region of a processing chamber interfacing with the transfer chamber, b) actuating a substrate handler to transfer the first substrate to a second processing region of the processing chamber, the second processing region being separated from the transfer chamber by at least the first processing region, and c) positioning the first substrate on a support member disposed in the second processing region.







BRIEF DESCRIPTIONS OF THE DRAWINGS

[0012] So that the manner in which the above recited embodiments of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.


[0013] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.


[0014]
FIG. 1 shows a plan view of a processing system comprising a series chambers module.


[0015]
FIG. 2 is a schematic side view of a series chambers module.


[0016]
FIG. 3 is a schematic top view of the series chambers module shown in FIG. 1.


[0017] FIGS. 4-11 are top and side view, respectively, illustrating the operation of the series chambers module shown in FIGS. 1-3.


[0018]
FIG. 12 is a schematic top view of another embodiment of a series chambers module.


[0019]
FIG. 13 is a schematic top view of an integrated processing system having one or more series chambers modules mounted thereon.


[0020]
FIG. 14 is a schematic top view of an alternative embodiment of an integrated system.


[0021]
FIG. 15 is an illustrative block diagram of a hierarchical control structure of a computer program used by a controller of the system shown in FIG. 13 and FIG. 14.







DESCRIPTION OF PREFERRED EMBODIMENTS

[0022] Embodiments are provided of one or more series chamber modules. In one aspect, each module has a serial arrangement of processing regions, wherein at least a first processing region communicates with at least a second processing region via a substrate transfer path. An opening is formed in a front end of each module to allow substrates to be introduced into the first processing region. In one embodiment, a substrate handling member is provided to shuttle substrates between the first processing region and the second processing region via the substrate transfer path. Although embodiments described below include only two processing regions, other embodiments may include any number.


[0023]
FIG. 1 shows a plan view of a processing system 10 comprising a series chambers module. The processing system 10 generally includes a factory interface 11, series chambers module 12, load lock chambers 14, pre/post treatment chambers 16, a transfer chamber 18 and a transfer robot 20. The system 10 is also equipped with a microprocessor/controller 22 programmed to control the various devices of the processing system 10. The load lock chambers 14 interface the factory interface 11 with the transfer chamber 18 and provide an enclosure for substrate transfer therebetween. The transfer chamber 18 provides a centralized area through which substrates are routed between the various processing stations of the system 10. Transfer of substrates is accomplished by the robot 20, which is located in the transfer chamber 18. The pre/post treatment chambers 16 may include orientation chambers, cooldown chambers, degass chambers and the like.


[0024] The series chamber module 12 interfaces with the transfer chamber 18 and may be mounted to facets thereof. The series chamber module 12 comprises an elongated body 24 that defines at least two processing regions 26, 28. Although the elongated body 24 is rectangular in FIG. 1, other shapes are also contemplated, including shapes having curvature. A first processing region 26 is communicable with the transfer chamber 18 via an aperture 30 at a front end of the series chambers module 10. The aperture 30 provides the only substrate entry/exit location for the series chambers module 10. The processing regions 26, 28 communicate via an opening 32 formed in the body 24 between the regions. The processing regions 26, 28 are arranged in series or inline such that a substrate is introduced into the first processing region 26 from the transfer chamber 18 and then can be moved to the second processing region 28. Transfer between the processing regions is provided by a substrate handler 34 pivotally disposed on the elongated body 24. Details regarding the substrate handler 34 and the operation thereof are provided below.


[0025]
FIG. 2 is a cross sectional side view of a series chamber module 100, such as the series chamber module 12 of FIG. 1. The module 100 generally comprises a chamber body 102 having a bottom 104, a top 106 and a sidewall 108. The chamber body 102 may be formed of a monolithic piece of material or, alternatively, may be cast of multiple pieces of material which are secured to one another by fasteners such as clamps or bolts or by more permanent means such as welding. In one embodiment, the top 106 is a removable lid secured to the sidewall 108 by clamps. The material from which the chamber body 102 is formed is selected according to the intended purpose of the module 100. Illustratively, the material is a metal such as anodized aluminum. In addition, some or all of the surfaces of the chamber body 102 may be coated with a material adapted to promote desirable characteristics, such as adhesion of particles.


[0026] The module 100 is a twin-chamber type including a first processing region 110 and a second processing region 112 located at a front end portion 114 of the module 100 and a back end portion 116 of the module 100, respectively. Although only two processing regions are shown, the invention contemplates any number of processing regions. The processing regions 110, 112 are generally defined by the chamber body 102, a lower partition 118, and an upper partition 120. The lower partition 118 occupies a central portion of the module 100 and is in the form of a wall extending upwardly from the bottom 104. The lower partition 118 may be an integral part of the chamber body 102 or maybe a separate component attached to the bottom 104. The upper partition 120 extends downwardly from the top 106 and is disposed substantially over the lower partition 118. The upper partition 120 and the lower partition 118 are in spaced relation with one another to define a transfer passageway 124 which fluidly communicates the first processing region 110 with the second processing region 112. The transfer passageway 124 is sized to accommodate the movement of a substrate and a substrate handler 200 (described below) therethrough, thereby allowing substrates to be passed between the processing regions 110, 112.


[0027] In one embodiment, the processing regions 110, 112 remain in constant communication with one another. Such a configuration may be desirable, for example, when the same process (e.g., a dielectric etch process) is to be simultaneously carried out in each processing region 110, 112. However, in the embodiment of FIG. 2, a sealing assembly 150 is provided to selectively isolate the first processing region 110 from the second processing region 112. Illustratively, the sealing assembly 150 includes an actuator 122 coupled to a door 121. The actuator 122 is configured to linearly extend and retract the door 121 to seal and unseal the transfer passageway 124. In a lowered (unsealed) position, the sealing assembly 150 allows substrates to be transferred between the processing regions 110, 112. In a raised position, the sealing assembly 150 seals the transfer passageway 124 to provide a degree of isolation between the regions 110, 112. In a sealed position, the door 121 sealingly abuts the upper partition 120. As such, the door 121 forms a partition between the processing regions 110, 112. In one embodiment, the sealed interface may be vacuum tight such that the processing regions 110, 112 are isolated from one another to a desired degree.


[0028] The processing regions 110, 112 may be utilized to perform any of various known and unknown processes. Accordingly, the processing regions 110, 112 may be outfitted with any combination of equipment depending on the desired process to be carried out. The processing regions 110, 112 shown in FIG. 2 are intended to be generic and the details of peripheral processing equipment (e.g., exhaust channels, pumps, fluid inlets, targets, gas manifolds, RF antennas and the like), while contemplated as part of some embodiments, are intentionally excluded. For purposes of illustration, however, a substrate support member 130 (also known as a pedestal) is shown disposed in each processing region 110, 112. Each substrate support member 130 includes a stem 132 and a support plate 134 mounted at an upper end of stem 132. An upper surface 136 of the support plate 134 provides a receiving surface for a substrate. The stem 132 is disposed through the bottom 104 of the chamber body 102 and is connected at a lower end to an actuator 138. The actuator 138 imparts vertical motion to the stem 132, thereby allowing positioning of the support plate 134 in a raised position and a lowered position.


[0029] Positioning of a substrate onto and off of the upper surface 136 is facilitated by lift pins 140. The lift pins 140 are elongated members slidably disposed in the support plate 134. Upward movement of the lift pins 140 is provided by a lifting assembly comprising an elevator plate 142 and a motor 144. Positioning of a substrate relative to the substrate support member 130 is described below with reference to FIGS. 4-11.


[0030] Illustratively, the module 100 is interfaced at its front end 114 to an adjacent chamber 160. Specifically, the module 100 is mounted to a facet 162 of the adjacent chamber 160. Preferably, the module 100 is secured to the facet 162 by temporary fasteners such as bolts and clamps to facilitate removal of the module 100. Although not shown, various devices and components may be used to advantage when interfacing the module 100 with another chamber. For example, elastomeric seals may be disposed between the facet 162 and the module 100. In addition, the module 100 and/or the adjacent chamber 160 may be equipped with one or more quick-disconnect connectors adapted to support the processing capabilities of the module, e.g., electrical feed-throughs to support current flow.


[0031] An aperture 164 is formed by the module 100 and the adjacent chamber 160 and allows fluid communication therebetween. The aperture 164 (also known as a “slit valve”) is sized to accommodate the transfer of substrates and a substrate handling member (e.g., robot blade) along a transfer plane 166. A slit valve sealing apparatus 168 disposed in the adjacent chamber 160 is adapted to selectively seal the aperture 164. The slit valve sealing apparatus 168 includes a door 170 connected to a pneumatic cylinder 172. The pneumatic cylinder 172 operates to extend and retract the door 170 relative to a seating surface 174 which defines an entry/exit of the aperture 164. Slit valve sealing apparatus are well-known in the art and details for one such a device can be found in U.S. Pat. No. 5,226,632 issued to Applied Materials, Inc. on Jul. 13, 1993.


[0032] In one embodiment, the module 100 and the adjacent chamber 160 are components of a larger integrated system including any number of processing chambers, loadlock chambers, robots and the like. In particular, the adjacent chamber 160 may be a central transfer chamber (such as the transfer chamber 18 of FIG. 1) of a cluster tool. In such an embodiment, a robot (e.g., the robot 20) disposed in the adjacent chamber 160 allows substrates to be shuttled between other locations in the cluster tool and the module. Embodiments of a cluster tool are described above with reference to FIG. 1 and below with reference to FIGS. 13-14.


[0033] In one embodiment, the module 100 includes a substrate handler adapted to move substrates between the first processing region 110 and a second processing region 112. FIG. 3 is a top view of the module 100 showing one embodiment of a substrate handler 200. The substrate handler 200 generally includes a support member 208 connected to a shaft 202. The support member 208 comprises an arm 204 connected at one end a C-shaped (or sickle-shaped) portion 206. The C-shaped portion 206 provides an upper surface 210 adapted to support a substrate.


[0034] The arm 204 is connected at another end to the shaft 202. The shaft 202 is a cylindrical member extending upwardly from a point below the module 100 and is adapted to rotate about a pivot point 212. A motor 214 (shown in FIG. 2) is connected to a lower end of the shaft 202 to impart clockwise and counterclockwise rotation to the shaft 202, as shown by arrow 216.


[0035] In a neutral position, the support member 208 is located in an enclosure 218 defined by a housing 220. Illustratively, the housing 220 is disposed at the back end 116, on an outer surface of the chamber body 102. However, the housing 220 may be located at any position on the module 100. The housing 220 may be temporarily secured to the chamber body 102 with fasteners such as bolts and clamps or, alternatively, may be permanently attached to the chamber body 102, e.g., by welding.


[0036] In one embodiment, the enclosure 218 and the second processing region 112 remain in constant fluid communication. However, the invention contemplates embodiments in which some degree of isolation between the enclosure 218 and the processing region 112 is provided. For example, the module 100 may include movable shields which can be selectively positioned between the enclosure 218 and the processing region 112. The shields may provide a vacuum tight seal or, in other embodiments, merely eliminate a line of sight between the enclosure 218 and the processing region 112 to reduce contamination of the surfaces of the housing 220.


[0037] From its neutral position, the substrate handler 200 rotates in a clockwise direction to a position in the first processing region 110 or the second processing region 112. While in such positions, substrates may be loaded onto and off of the handler 200. Accordingly, the handler 200 is adapted to transfer substrates within the module 100, and in particular, between the processing regions 110, 112. Movement between the processing regions 110, 112 is allowed by the provision of the transfer passageway 124 (shown in FIG. 2). The operation of the handler 200 is described in detail below with reference to FIGS. 4-11.


[0038] The handler 200 is merely illustrative of one transfer apparatus adapted to move substrates within the module 100. The invention is not limited to a particular transfer apparatus, and any device capable of moving a substrate between the first processing region 110 and the second processing region 112 may be used to advantage.


[0039] FIGS. 4-11 illustrate the operation of the handler 200 with a series of top and side views of the module 100. Each pair of top and side views provides a view of the handler 200 and the position of a substrate, respectively, at a given moment in time.


[0040]
FIG. 4A is a top view of the module 100 in which the handler 200 is in a neutral (i.e., retracted) position. FIG. 4B is a side cross-sectional view of the front end portion 114. A robot blade 302 carrying a substrate 304 is positioned in the first processing region 110 over the substrate support member 130. The robot blade 302 is connected to a robot (not shown) located in the adjacent chamber 160. Access of the robot blade 302 into the processing region 110 is provided via the aperture 164. The support member 130 and the lift pins 140 are initially in a lowered receiving position.


[0041] In FIG. 5A, the substrate handler 200 is shown positioned in the second processing region 112. During the rotation of the substrate handler 200, the substrate 304 is lifted from the robot blade 302 by the upward movement of the lift pins 140, as shown in FIG. 5B. The robot blade 302 can then retracted from the module 100 and aperture 164 sealed by the slit valve sealing apparatus 168 (shown in FIG. 1).


[0042] The substrate handler 200 then continues its clockwise rotation into the first processing region 110 as shown in FIGS. 6A-B. Rotation of the substrate handler 200 terminates when the C-shaped portion 206 is positioned below the substrate 304. The lift pins 140 are then lowered, thereby leaving the substrate 304 positioned on the upper surface 210 of the C-shaped portion 206 as shown in FIGS. 7A-B.


[0043] Carrying the substrate 304, the substrate handler 200 then rotates in a counterclockwise direction to a position in the second processing chamber 112, as shown in FIG. 8A. The rotation of the handler 200 is terminated when the C-shaped portion 206 is positioned over the upper receiving surface 136 of the substrate support member 130, as shown in FIGS. 8A-B. As shown in FIGS. 9A-B, the elevator plate 142 is then actuated to raise the lift pins 140. Upon contacting a lower surface of the substrate 304, the substrate 304 is lifted from the C-shaped portion 206, as shown in FIG. 9B. The handler 200 is then be returned to its neutral position, shown in FIGS. 10A-B, and the substrate 304 is lowered onto the upper receiving surface 136 of the substrate support member 130, as shown in FIGS. 11A-B.


[0044] A second substrate may be introduced into the first processing region 110 and positioned on the substrate support member 130 located therein according to conventional steps known in the art without the use of the handler 200. However, it is understood that processing may occur in one or both of the processing regions 110, 112 at a given time. Following processing, the substrates may be removed from the module 100 in reverse order of the steps described above.


[0045]
FIG. 12 shows a schematic cross sectional view of another embodiment of a series chambers module 101. Some of the aspects of the module 101 are the same as those of module 100 described above. Accordingly, like numerals identify like components. Illustratively, FIG. 12 shows a module adapted for chemical vapor deposition (CVD). It is understood that the modules of the invention can include any combination of other types of process chambers, such as physical vapor deposition (PVD) and etch chambers.


[0046] The module 101 mounted to the adjacent chamber 160. One or more liners, also known as shields, surround at least a portion of the processing regions 110, 112. The liners shield the inner walls of the module 101 from deposition of material thereon in either deposition or etch processes performed in the processing regions. For example, a first sidewall liner 125 surrounds at least a portion of the first processing region 110 and a second sidewall liner 126 surrounds at least a portion of the second processing region 112. Specifically, the liners 125, 126 are configured to inhibit deposition of material on the internal sidewall 108 of the module 101. In the embodiment shown, each of the sidewall liners 125, 126 are adapted to support a shadow ring 127, 128.


[0047] Although not shown, the module 101 may include other liners. For example, a bottom liner may be disposed adjacent the substrate support member 130 in the processing regions 110, 112 to reduce or eliminate deposition of materials on the lower chamber surfaces. In one embodiment, the bottom liners are an integral part of the sidewall liners 125 and 126. However, the liners may be separate components in other embodiments.


[0048] As can be seen in FIG. 12, a plurality of gas lines is coupled to a mixer and/or vaporizer 152 which provides mixing and/or vaporization of various precursors and reactants. Inlet 154 is coupled between the mixer and/or vaporizer 152 and the top 106 of the chamber 101. The inlet 154 can include a first valve 156 coupled to the first processing region 110 and a second valve 158 coupled to the second processing region 112. A gas manifold 163 is disposed in the first processing region 110 and is coupled to the inlet 154 for a dispersed flow of gases into the first processing region 110. Similarly, a gas manifold 165 is disposed in the second processing region 112 and is coupled to the inlet 154.


[0049] The module 101 includes additional plumbing adapted to allow evacuation of the processing regions 110, 112. A first gas exhaust outlet 186 is coupled to the first processing region 110. An outlet throttling valve 192 disposed in the first gas exhaust outlet 186 is adapted to regulate the pressure within the processing region 110. Similarly, a second gas exhaust outlet 188 is coupled to the second processing region 112 and includes an outlet throttling valve 194. The outlets 186 and 188 converge into a conduit 190 that is coupled to a vacuum pump 191 for creating a vacuum in one or more of the processing regions 110, 112. The valving and plumbing shown in FIG. 12 is merely illustrative and other embodiments will be readily recognized by those skilled in the art.


[0050] In operation, substrates can be positioned in one or both of the processing regions 110, 112. Transfer and positioning of substrates has been described with reference to FIGS. 4-11 above. Once the substrates have been positioned on the support members 130, process gases can be flowed through the mixer and/or vaporizer 152, through the inlet 154 and through the gas manifold 163 into the first processing region 110. Process gases can also be flowed through the inlet 154 and through the gas manifold 165 into the second processing region 112. In one embodiment, the support members 130 contain heaters (e.g., resistive heating coils) adapted to heat the substrate located on the respective support member 130. Heating is necessary in some processes to achieve or enhance a reaction on the substrate surface or to produce certain film qualities. The substrate support members 130 are then raised by operation of the actuators 138 to bring the substrates in proximity with the gas manifolds 163, 165. The process gases are allowed to contact the exposed surfaces of the substrates and form a film thereon.


[0051] In some embodiments, the processing regions 110 and 112 may perform different process sequences on the substrates disposed therein. In other embodiments, the two processing regions can perform similar processing regimes on the substrates. In the embodiments in which separate processes are performed, the transfer passageway 124 can be substantially sealed by the sealing assembly 150. Outlet fluids can flow though gas exhaust outlets 186, 188 and can be directed to a waste gas area or recycling unit (not shown).


[0052] In one embodiment, a substrate is transferred into the first processing region 110 for processing and then to the second processing region 112 for additional processing or post-processing steps (e.g., cooldown). The substrate can be transferred out of the module 101 for further processing.


[0053]
FIG. 13 is a schematic top view of an integrated processing system 400 having one or more series chambers modules mounted therein. The processing system 400 generally includes a plurality of processing chambers, load lock chambers, transfer chambers and robots. The system 400 is also equipped with a microprocessor/controller 470 programmed to control the various processing methods performed in the processing system 400. The system 400 includes vacuum load-lock chambers 405 and 410 attached to a first stage transfer chamber 415. The load-lock chambers 405 and 410 maintain vacuum conditions within the first stage transfer chamber 415 while substrates enter and exit system 400. A first robot 420 transfers substrates between the load-lock chambers 405 and 410 and one or more substrate processing chambers 425 and 430 attached to the first stage transfer chamber 415. Processing chambers 425 and 430 can be outfitted to perform a number of substrate processing operations such as CVD, PVD, etch, pre-clean, degas, orientation, anneal and other substrate processes. The first robot 420 also transfers substrates to/from one or more transfer chambers 435 disposed between the first stage transfer chamber 415 and a second stage transfer chamber 440.


[0054] The transfer chambers 435 are used to maintain ultrahigh vacuum conditions in the second stage transfer chamber 440 while allowing substrates to be transferred between the first stage transfer chamber 415 and the second stage transfer chamber 440. A second robot 445 transfers substrates between the transfer chambers 435 and a plurality of substrate processing chambers 450, 455, 460 and 465 that can be outfitted to perform a variety of substrate processing operations. For example, the processing chamber 450 is single-chamber etch module outfitted to etch apertures or openings for interconnect features. The processing chamber 465 is a single-chamber PVD module outfitted to sputter deposit yet another film. The chamber 455 is a twin-chamber PVD module wherein each processing region of the module is outfitted to reactively sputter deposit a film. The chamber 460 is a twin-chamber CVD module wherein each processing region of the module is outfitted to deposit a film by chemical vapor deposition. In another embodiment, the chamber 455 is a twin-chamber etch module and the chamber 460 is a twin-chamber resist stripping module. In such an embodiment, the chamber 460 may include a first processing region in a front end portion adapted for a passivation process and a second processing region in a back end portion adapted for a strip/residue process. In still another embodiment, the system 400 includes a pair of twin-chamber resist stripping modules and a pair of twin-chamber etch modules. In yet another embodiment, the system includes a pair of twin-chamber resist stripping modules and four single-chamber etch modules.


[0055] The above listed arrangements are examples of processing chambers useful for depositing/etching material formed on a substrate. A plurality of cluster tool systems may be required to perform all of the processes required to complete manufacturing of an integrated circuit or chip.


[0056] During operation, substrates are brought to vacuum load-lock chambers 405 and 410 by a conveyor belt or robot system (not shown) that operates under the control of a computer program executed by a microprocessor/controller 470. Also, the robots 420 and 445 operate under control of the computer program to transfer substrates between the various processing chambers of the cluster tool system 400.


[0057] The above-described cluster tool system is mainly for illustrative purposes. Other plasma processing equipment, such as electron cyclotron resonance (ECR) plasma processing devices, induction-coupled RF high-density plasma processing devices or the like may be employed as part of the cluster tool system.


[0058]
FIG. 14 is a schematic top view of an alternative embodiment of an integrated system. Processing system 500 has one or more series chambers modules integrated with one or more parallel chambers (e.g., such as those found on the Producer™). Generally, the processing system 500 includes a front end 501 which includes a robot 503 for transferring substrates from the cassettes 509 into and out of a load lock chamber 512. A transfer chamber 505 is coupled to the load lock chamber 512 and houses a transfer robot 502. A plurality of processing chambers, such as chambers 506, 507 and 508, are coupled to the transfer chamber. A processing chamber 506 includes processing regions 520 and 522, similar to the first processing region 110, shown in FIG. 4, and processing regions 524 and 526, similar to the second processing region 112, also shown in FIG. 4. Each processing region can be configured to process the same process sequence, separate process sequences or partial processing sequences in which the other chambers/regions can complete the process sequence. A back end 508 houses the various support utilities needed for operation of system 500, such as a gas panel, power distribution panel, and power generators. The system can be configured to accommodate various processes and supporting chamber hardware such as CVD, PVD and etch.


[0059] In operation, the substrates are loaded into a load lock cassette (not shown) located in the load lock chamber 512 and a vacuum is obtained therein. The substrates are retrieved from the load lock chamber 512 by the robot 502 through passages 510 into the transfer chamber 505. The substrates are then transferred into one or more processing chambers, such as chamber 506 or chamber 508 through passages 511. The substrates can be retrieved from chamber 506 after processing therein and transferred to a parallel chamber 507, having parallel processing regions 528, 530, through passages 513. The various process regimes can be completed on the substrates and the substrates transferred back to the load lock chamber 512, the front end 501, and out of the processing system 500.


[0060] While two processing regions have been described and shown in series, it is to be understood that the number of processing regions can extend beyond two processing regions. Further, while two processing regions side-by-side in parallel and two processing regions in series coupled to the parallel processing regions have been shown, it is to be understood that the processing regions can extend beyond a two by two configuration.


[0061] System Controller


[0062]
FIG. 15 is an illustrative block diagram of the hierarchical control structure of a computer program 610 used by a controller to operate a system of the invention. Illustratively, FIG. 14 refers to the operation of the system 400 using the controller 470 shown in FIG. 12. However, the same or similar operation applies to the other embodiments described herein.


[0063] Initially, a user enters a process set number and process chamber number into a process selector subroutine 662 in response to menus or screens displayed on the CRT monitor by using the light pen interface. Tile process sets are predetermined sets of process parameters necessary to carry out specified processes and are identified by predefined set numbers. Process selector subroutine 662 identifies (i) the desired process chamber in a multi-chamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered utilizing a light pen/CRT monitor interface (not shown).


[0064] A process sequencer subroutine 630 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 662, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the process sequencer subroutine 630 operates to schedule the selected processes in the desired sequence. Preferably the sequencer subroutine 630 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. The sequencer subroutine 630 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process when scheduling which process is to be executed. Alternatively, the subroutine 630 can be designed to take into account the “age” of each particular user-entered request or any other relevant factor a system programmer desires to include for determining scheduling priorities.


[0065] Once the sequencer subroutine 630 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 630 causes execution of the process set by passing the particular process set parameters to the chamber manager subroutines 640A-C. The chamber manager subroutines 640A-C, control multiple processing tasks in the various chambers, such as PVD chamber 455 for a barrier layer, CVD chamber 460 for a fill layer, and possibly other chambers, such as another PVD chamber 465 for layer deposition according to a process set determined by sequencer subroutine 630. For example, the chamber manager subroutine 640A comprises program code for controlling PVD process operations, within the described process chamber 455. One example of the various multi-chamber routines could include a conductive layer deposited over the substrate, a dielectric layer deposited over the conductive layer, a PVD layer deposited over the dielectric layer, a CVD layer deposited over the PVD layer and another layer deposited over CVD layer.


[0066] The chamber manager subroutines 640A-C also controls execution of various chamber component subroutines or program code modules, which control operation of the chamber components necessary to carry out the selected process set. For example, the controller 470 can incorporate the function of multiple individual chamber controllers. Examples of chamber component subroutines are substrate susceptor control positioning subroutine 650, process gas control subroutine 660, pressure control subroutine 670, heater control subroutine 680, and plasma control subroutine 690. Those having ordinary skill in the art will recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the various chambers. In operation, chamber manager subroutines 640A-C selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. Scheduling by chamber manager subroutines 640A-C is performed in a manner similar to that used by sequencer subroutine 630 in scheduling which process chamber and process set to execute. Generally, chamber manager subroutines 640A-C include steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.


[0067] While the foregoing is directed to one embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims that follow.


Claims
  • 1. A system for processing substrates, comprising: a) a transfer chamber defining an enclosure and a first opening; and b) a processing module interfaced with the transfer chamber, the module comprising: i) a body having a front end portion defining a first processing region and a back end portion defining a second processing region, wherein the front end portion defines a second opening registered with the first opening to form an aperture sized to accommodate substrate transfer therethrough; and ii) a substrate handler disposed on the body and comprising a substrate support arm positionable alternatively in the first processing region and the second processing region.
  • 2. The system of claim 1, wherein the aperture is the only entry and exit for substrates moving between the transfer chamber and the processing module.
  • 3. The system of claim 1, further comprising a housing disposed on the body forming an enclosure to receive the substrate handler.
  • 4. The system of claim 1, further comprising a loadlock chamber connected to the transfer chamber, wherein the loadlock serves as a entry and exit location for substrates moving to and from the transfer chamber.
  • 5. The system of claim 1, wherein the first processing region is adapted for chemical vapor deposition (CVD) and the second processing region is adapted for physical vapor deposition (PVD).
  • 6. The system of claim 1, further comprising a sealing apparatus comprising an actuator connected to a door adapted to seal the aperture.
  • 7. The system of claim 1, further comprising a robot disposed in the transfer chamber, the robot comprising a substrate-carrying blade adapted to transfer the substrate through the aperture.
  • 8. The system of claim 1, further comprising an actuator connected to the substrate handler.
  • 9. The system of claim 1, further comprising an actuator connected to the substrate handler and adapted to rotate the substrate handler.
  • 10. The system of claim 1, wherein the body at least partially defines a substrate transfer passageway between the first processing region and second processing region to allow a substrate and the substrate support arm to move between the first processing region and second processing region.
  • 11. The system of claim 10, further comprising a sealing assembly disposed on the body and adapted to seal the substrate transfer passageway.
  • 12. The system of claim 10, wherein the sealing assembly comprises a sealing member positionable in the substrate transfer passageway.
  • 13. The system of claim 10, wherein the substrate transfer passageway is the only entry and exit for substrates moving between the first and second processing regions.
  • 14. A system for processing substrates, comprising: a) a transfer chamber defining an enclosure and having a first opening formed in a sidewall of the transfer chamber; and b) at least one processing chamber defining a first processing region and an adjacent second processing region communicable through a substrate transfer passageway, the first processing region being disposed between the transfer chamber and the second processing region, wherein the at least one processing chamber defines a second opening registered with the first opening to communicate the first processing region and the enclosure.
  • 15. The system of claim 14, wherein the transfer chamber, the first processing region and the second processing region define a substrate transfer plane.
  • 16. The system of claim 14, wherein a front end portion of the at least one processing chamber is connected to a facet of the transfer chamber and wherein the first processing region is located at the front end portion and the second processing region is located at a backend portion.
  • 17. The system of claim 16, wherein the front end portion defines the second opening and wherein the first and second opening are sized to accommodate substrate transfer therethough.
  • 18. The system of claim 17, further comprising a sealing apparatus comprising an actuator connected to a door adapted to selectively seal the first opening.
  • 19. The system of claim 17, further comprising a robot disposed in the transfer chamber, the robot comprising a substrate-carrying blade adapted to transfer a substrate through the first and second openings.
  • 20. The system of claim 14, further comprising a sealing assembly disposed on the at least one processing chamber and adapted to selectively isolate the first and second processing regions from each other.
  • 21. The system of claim 20, further comprising at least one shield disposed in at least one of the first processing regions and the second processing region.
  • 22. The system of claim 21, further comprising at least one actuator coupled to the shield.
  • 23. The system of claim 14, further comprising a substrate handler connected to the at least one processing chamber and positionable the first and second processing regions.
  • 24. The system of claim 23, wherein the substrate handler comprises a substrate support arm connected to a shaft rotatable about an axis and having a motor connected to one end of the shaft.
  • 25. The system of claim 23, further comprising a housing connected to an outer surface of the at least one processing chamber and forming a substrate handler enclosure for receiving at least a portion of the substrate handler.
  • 26. The system of claim 25, wherein the housing and the second processing region are disposed at a backend portion of the at least one processing chamber.
  • 27. A substrate processing module, comprising: a) a body defining a first processing region at a front end portion and a second processing region at back end portion, and further defining a substrate transfer opening between the first processing region and the second processing region to allow transfer of a substrate between the processing regions; b) a mounting surface formed at the front end portion and including an opening adapted to allow transfer of a substrate between the body and a region external to the body; and c) a substrate handler disposed on the body and comprising a substrate support arm positionable in the first and second processing regions.
  • 28. The substrate processing module of claim 27, wherein the substrate handler comprises a shaft connected at one end to the substrate support arm and connected at another end to an actuator adapted to rotate the shaft about an axis perpendicular to a plane of rotation on which the substrate support arm moves.
  • 29. The substrate processing module of claim 27, further comprising a pedestal disposed in each processing region.
  • 30. The substrate processing module of claim 27, further comprising an exhaust system connected to the first and second processing regions.
  • 31. The substrate processing module of claim 30, wherein the exhaust system comprises: a first outlet member connected to the body and in fluid communication with the first processing region; a second outlet member connected to the body and in fluid communication with the second processing region; and a vacuum pump in communication with the first and second outlet members.
  • 32. A method for transferring substrates, comprising: a) transferring a first substrate from a transfer chamber into a first processing region of a processing chamber interfacing with the transfer chamber; b) actuating a substrate handler to transfer the first substrate to a second processing region of the processing chamber, the second processing region being separated from the transfer chamber by at least the first processing region; and c) positioning the first substrate on a support member disposed in the second processing region.
  • 33. The method of claim 32, wherein step a) comprises: actuating a robot blade carrying the substrate into a position over a pedestal located in the first processing region; removing the first substrate from the robot blade; and positioning the first substrate on the pedestal.
  • 34. The method of claim 33, wherein removing the first substrate from the robot blade comprises actuating lift pins disposed in the pedestal to contact a lower surface of the first substrate.
  • 35. The method of claim 32, wherein actuating a substrate handler comprises rotating the substrate handler from the first processing region to the second processing region through a transfer passageway defined by the processing chamber.
  • 36. The method of claim 32, further comprising transferring a second substrate into the first processing region and performing a first process in the first processing region and a second process in the second processing region.
  • 37. The method of claim 36, wherein the first process and the second process are the same.
  • 38. The method of claim 32, further comprising performing a first process on the first substrate in one of the first and second processing regions, transferring the first substrate to the other of the first and second processing regions and performing a second process on the first substrate.
  • 39. The method of claim 32, further comprising substantially sealing the first and second processing regions from each other.
  • 40. The method of claim 32, wherein substantially sealing the first and second processing regions from each other comprises positioning a door in a substrate transfer passageway defined by the processing chamber.