UV and reducing treatment for K recovery and surface clean in semiconductor processing

Abstract
Treatment of carbon-containing low-k dielectric with UV radiation and a reducing agent enables process-induced damage repair. Also, treatment with a reducing agent and UV radiation is effective to clean a processed wafer surface by removal of metal oxide (e.g., copper oxide) and/or organic residue of CMP slurry from the planarized surface of a processed wafer with or without low-k dielectric. The methods of the invention are particularly applicable in the context of damascene processing to recover lost low-k property of a dielectric damaged during processing, either pre-metalization, post-planarization, or both, and/or provide effective post-planarization surface cleaning to improve adhesion of subsequently applied dielectric barrier and/or other layers.
Description
BACKGROUND OF THE INVENTION

The invention relates to semiconductor processing, in particular to surface cleaning and repair of process-induced damage of low dielectric constant dielectric materials in, for example, damascene processing.


Low dielectric constant (low-k) insulating materials have been integrated into semiconductor devices in order to address reduced feature sizes and high performance requirements. These low-k dielectrics are mechanically weaker than previous generation dielectric materials. The inherently weak nature of the low-k dielectric material can pose significant challenges for downstream electronic-packaging processes and material compatibility.


Low-k materials are, by definition, those semiconductor-grade insulating materials that have a dielectric constant (“k”) lower than that of SiO2, i.e., 3.9. Various types of low-k materials can have dielectric constants ranging from about 3.8-3.6 (e.g., fluorosilicate glass (FSG)), to less than about 3.2 (e.g., (carbon doped oxide (CDO)), to as low as 2.2 (e.g., spin-on glass (SOG)) or even lower, and encompass low-k dielectrics referred to as “ultra low-k” (ULK) and “extreme ultra low-k” (ELK). In many CDO low-k implementations, such as are described herein as one aspect of the invention, suitable carbon-containing low-k materials have a dielectric constant of about 2.7 or lower. To further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and insulators having low dielectric constants to reduce the capacitive coupling between adjacent metal lines. Low-k materials are being integrated into the devices to improve device performance and allow for device scaling.


Low-k materials are less dense than standard insulating materials such as SiO2. This low density introduces a host of process integration and material compatibility difficulties. Achieving a balance between maintaining a low-k film's integrity, integrating it properly, and performing the necessary stripping, cleaning, and conditioning is challenging. Patterning processes (etching, stripping, deposition, and cleaning) can also have a drastic impact on the integrity of carbon-containing low-k materials, in particular SiOC-based low-k materials.


The properties that give carbon-containing low-k dielectric materials their desirable low dielectric constants are the very same properties that are leading to significant integration challenges. Carbon-containing low-k materials achieve lower dielectric constants through the incorporation of non-polar covalent bonds (e.g., from the addition of carbon) and the introduction of porosity to decrease film density. Introducing porosity or the incorporation of terminal bonds, such as Si—CH3, breaks the continuity of the rigid Si—O—Si lattice of traditional oxides, yielding a lower dielectric constant film that is both mechanically and chemically weaker. Because of the mechanical weakness, carbon-containing low-k films are susceptible to kinetic plasma damage that can undesirably densify the film and thus increase the film's effective k value.


Furthermore, chemical plasmas used in semiconductor processing operations to which dielectrics are exposed can modify carbon-containing low-k films where bonds such as Si—CH3 are readily broken. The susceptibility of carbon-containing low-k materials to plasma modification poses a serious integration challenge since plasma processes are routinely used to etch, clean, and deposit films in the manufacturing of a semiconductor device. In a typical Damascene process flow, prior to metal barrier deposition, process induced carbon-containing low-k dielectric damage can be incurred by a patterned low-k dielectric from (plasma) etch, dry resist strip, wet cleaning and dry cleaning. Carbon-containing low-k materials are also susceptible to the intercalation of plasma species, residues, solvents, moisture, and precursor molecules that can either adsorb into, outgas from, or chemically modify the film. Thereafter, a conductive material, typically a metal, for example copper, is deposited onto the patterned dielectric layer to fill vias and trenches formed in the dielectric layer. Then, excess metal is removed via chemical mechanical polishing (CMP), thereby forming a planar surface comprising regions of exposed copper and low-k dielectric onto which other layers, such as a dielectric barrier, are deposited. The CMP process typically damages the low-k dielectric, resulting in carbon loss and water absorption. This causes the k of the low-k dielectric to increase, thereby lowering the RC improvement that the low-k material can potentially provide.


Also, exposed metal, particularly copper, regions are subject to oxidation prior to the formation of a dielectric barrier or subsequent layers on the wafer surface. And, organic residues of anti-corrosion components of CMP slurry, for example benzotriazole (BTA), may remain on a wafer surface after a CMP process. The presence of copper oxide and organic residue causes problems with the adhesion of the dielectric barrier on the wafer surface. Therefore, various cleaning processes may be used to remove such oxide and residue (another form of process-induced damage). In one specific example, such a wafer may be exposed to a direct plasma in a plasma-enhanced chemical vapor deposition (PECVD) processing chamber for a period of time prior to introducing chemical vapors to the processing chamber. The use of a reducing plasma, such as an ammonia or hydrogen plasma, may reduce copper oxide and hydrocarbons on the surface, thereby cleaning the surface. However, depending upon processing conditions, such direct plasmas also may affect a low-k dielectric surrounding the copper because the low-k material is locally densified at the surface either by ion bombardment or because of bound carbon removal through chemical activity. Some of the k damage induced by operations such as CMP to the low-k material may be recovered by doing a short anneal prior to the above described pre-treatment and etch stop deposition, but the recovery is only marginal.


SUMMARY OF THE INVENTION

The present invention provides a method for treatment of carbon-containing low-k dielectric, for example, but not limited to carbon-doped oxide (CDO), and possibly including a metal feature, with a reducing agent and ultraviolet (UV) radiation, for the repair of process-induced damage. In another aspect, treatment with a reducing agent and ultraviolet (UV) radiation is effective to clean a processed wafer surface by removal (reduction) of metal oxide (e.g., copper oxide) and/or organic residue of CMP slurry from the planarized surface of a processed wafer. The methods of the invention are particularly applicable in the context of damascene processing to recover lost low-k property of a dielectric damaged during processing, either pre-metalization, post-planarization, or both, and/or provide effective post-planarization surface cleaning to improve adhesion of subsequently applied dielectric barrier and/or other layers.


In one embodiment, the invention involves exposing a patterned metal (e.g., copper) interconnect wafer (post-CMP and prior to etch stop deposition) to a sufficient flux of ultraviolet (UV) radiation and a reducing agent, such as NH3, resulting in metal oxide (e.g., copper oxide) reduction and removal of adsorbed organic residue remaining after CMP with minimal impact on the dielectric constant of the exposed dielectric (which may be low-k, for example a carbon-containing, e.g., CDO, such as ULK; or other low-k of non-low-k dielectric). This pre-treatment in and by itself can also recover some of the damage imparted to a low-k dielectric at the substrate surface (e.g., k degradation due to absorbed water). There are several other possible approaches in accordance with the invention such as the use of a short anneal (e.g., 1 minute or less) involving exposure of the dielectric surface to a reducing agent at a temperature of about 400° C., followed by a short UV exposure in an inert atmosphere such as Helium. In another embodiment, the wafer is exposed to UV in a reducing atmosphere, such as NH3, to clean the metal (e.g., copper) surface, followed by UV exposure in an inert atmosphere for k damage recovery. In either case, the measured recovery of dielectric constant is more than what an anneal can achieve on its own.


While reduction of copper oxide is a particularly useful and exemplified embodiment, in other embodiments this same approach can be applied to the reduction of nickel oxide, tungsten oxide, cobalt oxide, or other metal oxides prior to dielectric and/or metallic barrier deposition, contact formation, or similar deposition process.


This process is amenable to inclusion on a properly designed load lock or as a module for a PECVD semiconductor wafer processing system, such as a Vector Extreme configured PECVD system, available from Novellus Systems, Inc., San Jose, Calif., for example.


In another aspect, the invention relates to a method of forming a semiconductor device. The method includes receiving in a processing chamber a semiconductor device substrate comprising a carbon-containing low-k dielectric layer having formed therein a feature, the feature having sidewalls and a bottom; and exposing the feature to a reducing agent and UV radiation. The process induced low-k dielectric damage in the feature is repaired.


In another aspect, the invention relates to a method of forming a semiconductor device involving receiving in a processing chamber a semiconductor device substrate comprising a planarized surface having conductive features in a dielectric layer; and exposing the planarized surface to UV radiation and a reducing agent. Planarization-induced damage on the surface is repaired.


The invention may also be more generally applicable in other semiconductor processing contexts. For example, receiving in a processing chamber a semiconductor device substrate, and exposing the semiconductor device substrate to UV radiation and a reducing agent such that processing-induced damage to the semiconductor device substrate is repaired.


These and other features and advantages of the present invention will be described in more detail below with reference to the associated drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a process flow chart depicting a method in accordance with an embodiment of the invention.



FIGS. 2A-2D are cross-sectional diagrams illustrating the formation of a semiconductor device by a dual damascene process in accordance with an embodiment of the invention.



FIG. 3 is a schematic diagram of an example chamber suitable for implementing the present invention.



FIGS. 4-8 provide data plots illustrating the benefits of the present invention.





DETAILED DESCRIPTION OF EMBODIMENTS

Embodiments of the present invention are described herein primarily in the context of a treatment for carbon-containing low-k dielectric repair in damascene processing. Those of ordinary skill in the art will realize that the following detailed description of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure. Reference will now be made in detail to implementations of the present invention as illustrated in the accompanying drawings.


The term “semiconductor device” as used herein refers to any device formed on a semiconductor substrate or any device possessing a semiconductor material. In many cases, a semiconductor device participates in electronic logic or memory, or in energy conversion. The term “semiconductor device” subsumes partially fabricated devices (such as partially fabricated integrated circuits) as well as completed devices available for sale or installed in particular apparatus. In short, a semiconductor device may exist at any state of manufacture that employs a method of this invention or possesses a structure of this invention.


As noted above, the present invention provides a method of semiconductor device fabrication that involves exposure to a reducing agent and UV radiation. Treatments in accordance with the invention are effective for the repair of process-induced damage to the dielectric, for example, broken Si—CH3 bonds in carbon-containing low-k dielectric (e.g., CDO) resulting from plasma trench etching, or oxidized metallization or organic residue resulting from CMP. Applicable carbon-containing dielectrics typically have SiO-based backbones doped with carbon, in particular CDO (for example, those formed from octamethyl cyclotetrasiloxane (OMCTS), tetramethylcyclotetrasiloxane (TMCTS), dimethyldimethoxysilane (DMDMOS), and diethoxymethylsilane (DEMS) and other known CDO precursors), but may also include hybrid polymers incorporating both C, Si and O in the backbone. The invention is applicable, at least in its post-planarization damage repair (e.g., surface cleaning) aspect to semiconductor substrates having non-carbon-containing low-k dielectrics, or non-low-k dielectrics at all, since the metal oxide reduction and organic CMP residue removal aspects are independent from the low-k dielectric repair and k-recovery aspect of the invention. The inventive methods are particularly applicable in the context of damascene processing.


In one aspect, the invention relates to a method of forming a semiconductor device by exposing a feature formed in a carbon-containing low-k dielectric layer to a reducing agent and UV radiation, either simultaneously or successively, to repair process induced low-k dielectric damage (e.g., dangling bonds or highly strained bonds, e.g., Si—O—Si or Si—CH2—Si, caused by removal of organic (generally —CH3) groups) to the low-k material of the feature sidewalls and bottom caused by plasma etch, dry resist strip, wet cleaning and dry cleaning, for example, involved in the feature formation process. The repaired feature can then be filled with a conductive material, particularly a metal diffusion barrier, followed by copper (or other suitable interconnect metal). The top surface of the semiconductor device can then be planarized, generally by chemical mechanical polishing (CMP). As noted above, post-planarization repair of planarization-induced dielectric damage in addition to or independent from pre-metallization dielectric repair are aspects of the invention.



FIG. 1 is a process flow chart depicting operations that may be performed in various methods in accordance with embodiments of the present invention. The invention is advantageously applied in a damascene processing context, although its application is not so limited. It should be understood that, in at least some aspects, the present invention requires only the UV radiation and reducing agent exposure of an applicable semiconductor device substrate such as described in operations 104 and/or 110 of the embodiment illustrated in FIG. 1. Other aspects of the invention may include additional processing operations, such as damascene processing operations described herein. But the invention is not limited to the performance of these additional processing operations in all its aspects. A generalized version of a dual damascene technique is described below with reference to FIGS. 2A through 2D, which depict a partially formed semiconductor device during various stages of this process. The invention may also be used in conjunction with other semiconductor processing techniques.


Referring now to FIG. 1, in operations that are not necessarily part of the present invention, but place an embodiment of the invention in context in an advantageous application, a carbon-containing low-k dielectric layer is deposited on a substrate at 100. Patterns of conductive features are formed in the dielectric layer, generally by plasma etching, at 102. Plasma etching generally results in damage to the pattern edges, generally trench sidewalls and bottoms, as described above. Other process operations, such as dry resist strip, wet cleaning and dry cleaning, can also cause or contribute to low-k dielectric damage. The conductive features are typically, though not necessarily, metal lines and vias. In one example, they are the interconnects of a metallization layer that is formed from copper. As is known to those of skill in the art, various techniques may be employed to form such layers.


The formed features (e.g., etched trenches) are then exposed to a reducing agent and ultraviolet (UV) radiation at 104. While the invention is not limited to any particular theory of operation, it is believed that the UV exposure of the damaged dielectric surface according to this aspect of the invention cross-links the surface Si groups to fill gaps from the departed methyl (—CH3) groups. In addition, the UV energy may photo-excite the reducing agent to produce hydrogen or hydrogen-like (e.g., Deuterium) radicals which in turn volatize and remove or react away certain dangling bonds or non-structure forming carbon components which otherwise increase the polarizability of the matrix thus increasing the dielectric constant. In some embodiments, this reducing agent-mediated aspect of the low-k dielectric repair may involve exposure of the dielectric to a silylating agent, such as hexamethyldisilazane (HMDS) prior to or during the UV exposure, as described further below.


The reducing agent is typically a reducing gas, and may be photoactive either alone or in combination with other chemical species present in the processing chamber. Suitable examples of reducing agents include NH3, or NH3-like compounds, wherein the H is replaced by deuterium (e.g., NH2D, NHD2, ND3), H2; hydrazine (N2H4), or other photoreactive gases that can produce a H-radical such as tetramethylsilane (4MS) or trimethylsilane (3MS), amines (e.g., methyl-, dimethyl- or trimethyl-amine, or ethylamine), alkenes such as ethylene or propylene, or alkynes such as acetylene, or combinations of these with one another and/or inert gases such as He, N2 or Ar. In specific examples, the reducing gas may be NH3 or H2. A specific example is NH3.


According to various embodiments of the invention, the feature may be exposed to UV radiation in the presence of the reducing agent. In other embodiments, the feature may be exposed to UV radiation following exposure to the reducing agent, for example an initial anneal or soak of the feature with a reducing gas (e.g., NH3), for example at a temperature below the targeted thermal budget, for example about 400° C., for about 30 seconds, followed by UV exposure in an inert gas (e.g., He), for example for about 30 seconds. In still other embodiments, an initial exposure to UV radiation in the presence of the reducing agent can be followed by a further exposure to UV radiation without the reducing agent, for example in an inert gas (e.g., He); or the reverse operations (i.e., exposure to UV radiation without the reducing agent, for example in an inert gas (e.g., He) followed by a further exposure to UV radiation in the presence of the reducing agent.


In another embodiment, damaged dielectric can be exposed to a silylating agent, such as HMDS, for example at a temperature of about 400° C. (anneal), followed by or during exposure to UV radiation, either in the presence of a reducing agent, such as NH3, or an inert gas like He, Ar or N2 where, for example, the substrate has previously been exposed to a reducing agent.


Following the treatment, a barrier layer may be deposited on the low-k dielectric layer, prior to subsequent processing of the semiconductor device.


Suitable reducing agent partial pressures are between about 1 and 700 Torr, for example 50-600 Torr. A typical reducing agent flow rate is about 15 slm. Exposure times of less than a minute, for example 30 or 25 seconds, are generally suitable. At very lower partial pressures, longer exposure times will generally be required.


The UV radiation may be obtained from any suitable UV source. The choices of UV wavelength(s), total exposure time and intensity, etc., depend on a number of factors, including the thickness of the dielectric film and the composition of the dielectric film. Suitable UV treatment parameters are in the power intensity range of about 1 mw-20 W/cm2, preferably about 500 mW-5 W/cm2; at a wavelength of about 150-500 nm, preferably about 200-400 nm; for up to about 1 minute, for example about 15, 30 or 45 seconds; at a wafer temperature of between room temperature up to about 450° C., preferably about 200-400° C. A typical UV exposure in accordance with this aspect of the invention has a power density of about 1-3 W/cm2 at a wafer temperature of about 400° C. for about 30 seconds. The UV source can be a single wavelength excimer lamp or broad spectrum source with arc or microwave excitations. The process pressure can range from about 1 mTorr to 760 Torr, preferably from about 5 Torr to 700 Torr.


In one particular implementation on a SOLA UV thermal processing system, available from Novellus Systems, Inc., UV intensity can be anywhere between 10-100%. Lower intensity generally requires longer exposure times, particularly for cleaning. Temperature is set below target thermal budget (e.g., 350- 400° C.). Pressure can be as noted above. The UV wavelength used can be a broad band source using H+ bulbs with wavelength lower than 230 nm since NH3 absorbs in this region. Other sources that produce such short wavelengths such as 172 nm excimers can also be used.


The process parameters for the UV treatment, including intensity, wavelength, temperature, pressure, time and gas flow, may be independently controlled and varied, and any or all of the process conditions may be varied from station to station where the invention is implemented in a multi-station processing apparatus. In particular, there may be independent control of temperature, reducing agent and UV irradiation. For example, the wafer may be brought to a temperature T for a time t, exposed to a reducing agent for a time t1, exposed to UV radiation and a reducing agent for time t2, exposed to UV radiation only for a time t3, and then moved to another station in a multi-station processing apparatus where any of the conditions including temperature, UV radiation intensity, pressure, wavelength, flow, and time may be independently changed within the described parameters.


The apparatus employed to implement the invention can have one or more UV sources. In some embodiments described herein, the apparatus will have a single UV source. Suitable apparatus are described in more detail below.


Following this UV treatment, in operations that are not necessarily part of the present invention but are provided for context for one embodiment as noted above, the trenches may then be filled at 106 with a conductive material, typically copper (Cu), although other metals may also be used. When filling the features, excess material will have to be removed from the top (exposed surface) of the dielectric layer. The excess material may be removed by a planarization process to form an exposed pattern of conductive features in the dielectric at 108. As discussed above, one widely-used planarization process is chemical mechanical polishing (CMP).


CMP slurry oxidizes the surface of the conductive material during planarization. It is beneficial to remove oxides from the conductive material after the planarization process. As discussed above, slurry from CMP can chemically remove carbon groups from the dielectric as well. In addition, organic residues of anti-corrosion components of CMP slurry, for example benzotriazole (BTA), may remain on a wafer surface after a CMP process. The presence of copper oxide and organic residue can interfere with the adhesion of subsequently applied layers, such as a dielectric barrier, on the wafer surface.


According to a further aspect of the invention, to repair the dielectric and remove the metal oxide and/or CMP slurry organic residue, the dielectric surface can be exposed to a further reducing agent and UV radiation treatment at 110. The treatment may be conducted under the same conditions as described above with reference to the post-trench etch treatment. The UV and reducing agent exposure of the present invention is also effective for removing metal oxide and CMP organic residue. While the invention is not limited to any particular theory of operation, it is believed that the UV exposure of the planarized surface according to this aspect of the invention cross-links the surface Si groups to fill gaps in the low-k dielectric from departed methyl (—CH3) groups. In addition, the UV energy may photo-excite the reducing agent to produce hydrogen (or hydrogen-like) radicals which in turn volatize and remove or react away certain dangling bonds or non-structure forming carbon components which otherwise increase the polarizability of the matrix thus increasing the dielectric constant, and also volatize and remove or react away CMP organic residue. In addition, hydrogen (or hydrogen-like) radicals produced which can in turn drive the reduction of copper oxide to pure copper.


Following the damascene processing repair in accordance with the present invention, a diffusion barrier film, such as a copper diffusion barrier film, may be deposited on the planarized surface of the partially-formed semiconductor device. This layer may serve other purposes aside from that of a diffusion barrier. For example, the diffusion barrier film may also act as an etch stop layer.


According to various implementations of the present invention, a reducing agent and UV exposure treatment, as described above, may be conducted at either or both the pre-metallization (e.g., trench fill) and post-planarization stages of a semiconductor processing operation. The operation may include either or both processing operations. In embodiments where the reducing agent and UV exposure treatment is not applied at both stages of a semiconductor processing operation that includes both pre-metallization and post-planarization processing, other processing techniques may be combined with the reducing agent and UV exposure treatment at one stage or the other. For example, a UV exposure without reducing agent exposure may be used at one stage or the other.


Further, other processing operations may be combined with the reducing agent and UV exposure treatment at a given processing stage. For example, one embodiment of the invention involves a two-step post-CMP stage process involving dielectric repair for k recovery with UV cure in an inert (e.g., He) atmosphere, followed by UV cure with a reducing agent (e.g., NH3) for surface cleaning; or the steps may be reversed. According to these embodiments, a short anneal (e.g., 30 seconds at 400° C.) before or after UV cure in NH3 enables both surface cleaning and k recovery in a single treatment.


Referring now to FIGS. 2A-2D, a typical dual damascene process incorporating processing-induced damage repair processes of the present invention is illustrated. As noted above, it should be understood that an embodiment of the invention in context in an advantageous application is depicted. In at least some aspects, the present invention requires only the UV radiation and reducing agent exposure of the semiconductor device substrate, as described above. Other aspects of the invention may include additional processing operations, such as damascene processing operations described herein. But the invention is not limited to the performance of these additional processing operations in all its aspects.


Referring to FIG. 2A, first 203 and second 205 layers of dielectric are deposited in succession, possibly separated by deposition of an etch stop layer, such as a silicon nitride layer. As is well known in the art, according to alternative damascene processing techniques a single thicker dielectric layer can be used instead of discrete first and second layers.


After deposition of the second dielectric layer 205, a via mask 211 is formed having openings where vias will be subsequently etched. Next, the vias are partially etched down through the level of the second dielectric 205. Then via mask 211 is stripped off and replaced with a line mask 213 as depicted in FIG. 2B. A second etch operation is performed to remove sufficient amounts of dielectric to define line paths 215 in second dielectric layer 205. The etch operation also extends via holes 217 through first dielectric layer 203, down to contact an etch stop layer 210 above a metal layer 211 on the underlying substrate 209.


It should be noted that the foregoing description is just an example of one dual damascene process with which the present invention may be implemented. One type of via-first dual damascene process has been described. In other embodiments, a via-first process may involve complete etching of the vias prior to etching of the line trenches. Or, a trench-first process, in which the etching of the line trenches precedes the via etching, may be used. These various damascene processing techniques, and other variations thereon, are well known in the art and represent alternative implementation contexts for embodiments of the present invention. The invention is also applicable is single damascene processing, more conventional metal deposition and etch, contact cleaning, and essentially any semiconductor processing context where carbon-containing low-k dielectrics are used (for the low-k repair aspect of the invention) and/or, for at least the post-planarization aspect of the invention, any semiconductor context in which metal oxide reduction or removal of organic CMP residue (surface cleaning) is required. In the case of contact cleaning, it should be understood that the metal oxide reducing aspect of the invention has two variants in a contact cleaning process, either or both of which may be performed in accordance with the present invention: Cleaning of the etched contact via prior to metal deposition and cleaning the filled metal contact after chemical mechanical polishing (CMP). In the first instance, the UV and reducing agent treatment reduces the oxide created in a silicide such as NiSi. In the second instance, the treatment reduces metal oxide grown on top of the metal contact, generally on metals such as W or Cu.


Further in this regard, the term “trench” in the context of damascene processing is commonly understood to describe a feature formed in dielectric and subsequently filled to form a conductive line in a dielectric layer. In a more general semiconductor processing context, the term is also understood to describe a feature formed in dielectric and subsequently filled to form an element of a semiconductor device (e.g., via, line, STI, etc.), and may include a damascene trench or a combined damascene structure. Unless it is otherwise clear from the context, when used herein, the term should be understood to have its broader meaning.


After trench etching, the photoresist is removed in another plasma process, followed by a wet or dry clean and then damage on the low-k dielectric surface is repaired, as discussed above.


Thereafter a thin layer of conductive barrier layer material 219 is formed on the exposed surfaces (including sidewalls) of dielectric layers 203 and 205. Conductive barrier layer material 219 may be formed, for example, of tantalum or tantalum nitride. A CVD or PVD operation is typically employed to deposit conductive barrier layer material 219. Prior to the deposition of the barrier material, a plasma process is typically used to clean the bottoms of the trenches to remove oxidation and contaminants from the exposed copper surface on the underlying layer. As is known to those skilled in the art, this barrier “preclean” plasma process can be simply an inert plasma or a reactive plasma of a gas such as hydrogen. The preclean plasma process can also damage a low-k dielectric film. A reducing agent and UV treatment as described above to repair the damaged low-k film may employed prior to the PVD operation- for Ta or TaN.


On top of the barrier layer, a conductive metal (typically copper) is deposited in the trench and line paths 217 and 215. Conventionally this deposition is performed in two steps: an initial deposition of a conductive seed layer followed by bulk deposition of copper by electroplating. The seed layer may be deposited by physical vapor deposition, chemical vapor deposition, electroless plating, etc. Note that the bulk deposition of copper not only fills line paths 215 but, to ensure complete filling, also covers all the exposed regions on top of second dielectric layer 205.


Thus, it becomes necessary to planarize the structure and remove the excess copper from the device. Planarization removes material down to the level of the top of dielectric layer 205. This results in an exposed pattern of conductive lines 221 in dielectric layer 205 and vias in dielectric layer 203. (See the cross-sectional view of FIG. 2C and the simplified top view of FIG. 2D.)


Planarization may be accomplished by various techniques. Typically, the process involves some amount of CMP. It may also involve a combination of electropolishing, to remove most of the excess bulk copper, followed by CMP to remove the remaining copper down to the level of the top surface of dielectric layer 205. As discussed above, slurry from CMP can chemically remove carbon groups, and the in-situ plasma based processes typically used to remove the oxides from the conductive lines after the planarization process can also remove carbon groups leaving silicon dangling bonds on the surface of low-k dielectric films. Each of these types of damage also results in significant water absorption, resulting in an increase in dielectric k.


As described previously, another aspect of the invention relates to post-planarization (e.g., CMP) damage repair arising in damascene processing. According to this aspect, following planarization by CMP, Si—OH (silanol) bonds (formed by removal of organic (generally —CH3) groups by CMP slurry and processing and reaction of water in the slurry with resulting dangling Si— bonds), or other carbon-containing low-k dielectric film damage (e.g., highly strained bonds), or metal oxidation or CMP slurry organic residue contamination are repaired by exposing the surface to a reducing agent and UV radiation, as described above.


Apparatus


The present invention can be implemented in many different types of apparatus. In some embodiments, the apparatus will include one or more chambers (sometimes referred to as process modules) that house one or more wafers and are suitable for wafer processing. At least one chamber will include a UV source. A single chamber may have one or more stations and may be employed for one, some or all operations of the invention. Each chamber may house one or more wafers (substrates) for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation) during procedures of the invention. For certain operations in which the wafer temperature is to be controlled, the apparatus may include a controlled temperature wafer support, which may be heated, cooled, or both. The wafer support may also be controllable to provide the defined wafer positions within a process module. The wafer support may rotate, vibrate, or otherwise agitate the wafer relative to the UV source.



FIG. 3 depicts the arrangement of a UV light source suitable for implementation of the present invention. In this embodiment, a cold mirror reflector seeks to diminish the incidence of IR radiation on the wafer, while permitting UV radiation to be available for processing. For clarity, this figure depicts only one of the possible multiple processing stations available in an apparatus of this invention. Also, this figure omits depiction of the wafer for purposes of clarity, and shows a flood-type reflector. It will be apparent to those skilled in this art that the principles depicted in FIG. 3 may also be applied to a focused reflector.


Referring to FIG. 3, pedestal 303 is embedded into one station of a processing chamber 301. Window 305 is located appropriately above pedestal 303 to permit radiation of the wafer (not shown here) with UV output of the desired wavelengths from UV lamps 309 and 319. Suitable lamps for the UV light source may include, but are not limited to, mercury vapor or xenon lamps. Other suitable light sources include deuterium lamps, excimer lamps or lasers (e.g., excimer lasers and tunable variations of various lasers). Both lamps 309 and 319 are equipped with reflectors 307 and 317 which render their output into flood illumination. Reflectors 307 and 317 may themselves be made from “cold minor” materials, i.e., they may also be designed to transmit IR and reflect UV radiation.


Radiation emanating directly from lamps 309 and 319 as well as that reflected from reflectors 307 and 317 is further incident upon a set of reflectors 311. These reflectors are also cold mirrors designed to reflect only those UV wavelengths that are desired for the purposes of curing the film on the wafer. All other radiation including visible and most particularly the IR is transmitted by this set of cold minors. Therefore the wafer is radiated only by those wavelengths that cause the desired effect on the film. It will be apparent to those skilled in this art that the specific angle, distance, and orientation of the cold minor reflectors 311 with respect to the lamps 309 and 319 may be optimized to maximize the UV intensity incident on the wafer and to optimize the uniformity of its illumination.


The chamber 301 is capable of holding a vacuum and/or containing gases at pressures above atmospheric pressure. For simplicity, only one station of one chamber 301 is shown. It is noted that in some embodiments, chamber 301 is one chamber in a multi-chambered apparatus, although chamber 301 could alternatively be part of a stand-alone single chambered apparatus. In either case, the chamber(s) may have one or more than one station. In some embodiments of the present invention, the UV process modules have one station. Suitable apparatus for implementation of the invention may include configurations as described herein of INOVA, Sequel, Vector and SOLA systems from Novellus Systems, Inc. of San Jose, Calif., and Endura, Centura, Producer and Nanocure systems from Applied Materials of Santa Clara, Calif. In a particular example, the invention may be implemented on a Vector Extreme tool from Novellus Systems, Inc. of San Jose, Calif.


Note that the UV light source configuration of FIG. 3 is only an example of a suitable configuration. In general, it is preferable that the lamps are arranged to provide uniform UV radiation to the wafer. For example, other suitable lamp arrangements can include arrays of circular lamps concentrically or otherwise arranged, or lamps of smaller length arranged at 90 degree and 180 degree angles with respect to each other may be used. The light source(s) can be fixed or movable so as to provide light in appropriate locations on the wafer. Alternatively, an optical system, including for example a series of movable lenses, filters, and/or mirrors, can be controlled to direct light from different sources to the substrate at different times.


The UV light intensity can be directly controlled by the type of light source and by the power applied to the light source or array of light sources. Factors influencing the intensity of applied power include, for example, the number or light sources (e.g., in an array of light sources) and the light source types (e.g., lamp type or laser type). Other methods of controlling the UV light intensity on the wafer sample include using filters that can block portions of light from reaching the wafer sample. As with the direction of light, the intensity of light at the wafer can be modulated using various optical components such as mirrors, lenses, diffusers and filters. The spectral distribution of individual sources can be controlled by the choice of sources (e.g., mercury vapor lamp vs. xenon lamp vs. deuterium lamp vs. excimer laser, etc.) as well as the use of filters that tailor the spectral distribution. In addition, the spectral distributions of some lamps can be tuned by doping the gas mixture in the lamp with particular dopants such as iron, gallium, etc.


The apparatus also includes a source of a reducing gas 320, such as NH3 or H2, or others as noted above.


In certain embodiments, a system controller 325 is employed to control process conditions during the UV treatment with reducing agent processes in accordance with the present invention. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.


In certain embodiments, the controller controls all of the activities of the apparatus. The system controller executes system control software including sets of instructions for controlling the timing, supply of gases (e.g., reducing agent gases), chamber pressure, chamber temperature, wafer temperature, UV wavelength, intensity and exposure time, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.


Typically there will be a user interface associated with controller 625. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.


The computer program code for controlling the processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.


Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.


The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive processes. Examples of programs or sections of programs for this purpose include substrate positioning code, reducing gas control code, pressure control code, heater control code, and UV radiation control code. In one embodiment, the controller includes instructions for performing processes of the invention according to methods described above.


It should be understood that the apparatus depicted in FIG. 3 is only an example of a suitable UV process module and that other designs may be used. The semiconductor device should be transferred from the UV module to the barrier layer deposition module without an air break. This may be accomplished on multiple of single tools.


EXAMPLES

The following provides examples of specific implementations of the present invention and performance data in order to give a better understanding of the invention. The invention is, however, in no way limited to these specific implementations.


Post-CMP Processing


In one embodiment of the invention, NH3 was used as the reducing agent. NH3 absorbs in the UV range, and can potentially create H radicals which can reduce metal oxide. Both the H radicals and exposure to UV radiation can potentially remove the residual CMP organic residue (C removal). In this example, very high curing pressures (about 600T) were used in order to increase generation of H radicals, but lower pressures can also be potentially used, depending on the amount of metal oxide that needs to be removed.


In order to evaluate metal oxide (CuOx in this case) removal, about 130 Å of CuO was grown using an oxidizing plasma on PVD Cu. The impact of different cure times, and impact with and without UV exposure were evaluated. The data are plotted in FIG. 4. Complete reduction of 130 Å of CuO was achieved in less than 30 s of UV cure in NH3 atmosphere. (The slightly lower numbers for 10 and 20 s cures was due to incomplete removal right at the edge of the wafer, where the CuO was significantly thicker). By comparison, an NH3 soak only (without UV exposure) for 30 s removes only one third of the CuO (about 40 Å).


In order to evaluate CMP hydrocarbon residue removal, Cu wafers that had been through the CMP process were tested. Wafers were capped with SiN and then analyzed by SIMS. All results were compared against the direct plasma process (NH3 based in-situ pre-treatment in this case). The data are plotted in FIG. 5. For the surface cleaning process, a UV cure in NH3 (as a sample reducing agent) could potentially be implemented in multiple ways: single step UV cure in NH3; UV cure in NH3 followed by UV cure in an inert atmosphere like He; and NH3 soak (no UV) followed by UV cure in an inert atmosphere. As the data indicates, C removal on all tests involving a reducing agent and UV cure was better than the direct plasma process (“in situ NH3 pT”).


The results presented above were all for 30s cure in NH3 at 400° C. The actual time required and cure temperature will depend on the requirement. For example, in the case of C removal being the main requirement, shorter times can possibly be used. In cases wherein no C is present and very little O reduction necessary, shorter time and temperature can be used.


The data are presented in FIGS. 6 and 7. In order to evaluate k damage caused by the cleaning process, the UV-based process in a reducing atmosphere was compared to an in-situ plasma process. As FIG. 6 indicates, k damage was least for the film treated with a reducing agent and UV cure. K damage using the NH3 based UV cure for 30s was the least (about 1.5%) compared to greater 5% for a direct plasma process. Further, as the FTIR data presented in the table below suggests, very little carbon loss was seen with the UV based pretreatment, which explains the low k damage:


















Si—CH3 conc.



pT condition
Time (sec)
(~ bonds/cc)



















Post cure

13.67



NH3 based uV cure
30
13.57



H2 LLpT (4T soak)
151
13.24









In order to evaluate dielectric damage repair (for k recovery) a 2.55 ULK film was tested. The treatment involved annealing the damaged low-k film for a short time (less than 1 minute) with NH3 at about 400° C. followed by a short UV cure (less than 1 minute at about 400° C.) in this example. As FIG. 7 indicates, this leads to the largest recovery in k (recovery through anneal only saturates immaterial of anneal time).


Post-Trench Etch Processing


The same technique described above can also be used to recover at least some of the k from post-etch (pre-metallization) damage. Data of post-trench etch treatments in accordance with the present invention are plotted in FIG. 8. As the data indicates, k recovery was best for the film treated with a reducing agent and UV cure.


Conclusion


Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the process and compositions of the present invention. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein.

Claims
  • 1. A method of forming a semiconductor device, comprising: receiving in a processing chamber a semiconductor device substrate comprising conductive features and a dielectric layer having formed therein a feature; andexposing the semiconductor device substrate to a reducing agent and UV radiation, without exposing the device substrate to a plasma, wherein the reducing agent is a reducing gas selected from the group consisting of NH3, NH2D, NHD2, ND3, H2, N2H4, and combinations of these with one another and/or inert gases, such that oxide on the conductive features is removed in a UV-mediated plasma-free process including exposure to a non-oxidizing reducing environment, wherein the UV and reducing agent exposure reduces oxide created in a via silicide.
  • 2. A method of forming a semiconductor device, comprising: receiving in a processing chamber a semiconductor device substrate comprising conductive features and a dielectric layer having formed therein a feature; andexposing the semiconductor device substrate to a reducing agent and UV radiation, without exposing the device substrate to a plasma, wherein the reducing agent is a reducing gas selected from the group consisting of NH3, NH2D, NHD2, ND3, H2, N2H4, and combinations of these with one another and/or inert gases, such that oxide on the conductive features is removed in a UV-mediated plasma-free process including exposure to a non-oxidizing reducing environment, and wherein the reducing agent is NH3 gas, the exposure to the reducing agent is conducted at a temperature of about 400° C. for less than 1 minute, and the UV radiation exposure is conducted in an inert atmosphere.
  • 3. A method of forming a semiconductor device, comprising: receiving in a processing chamber a semiconductor device substrate comprising conductive features and a dielectric layer having formed therein a feature; andexposing the semiconductor device substrate to a reducing agent and UV radiation, without exposing the device substrate to a plasma, wherein the reducing agent is a reducing gas selected from the group consisting of NH3, NH2D, NHD2, ND3, H2, N2H4, and combinations of these with one another and/or inert gases, such that oxide on the conductive features is removed in a UV-mediated plasma-free process including exposure to a non-oxidizing reducing environment, and wherein the reducing gas is selected from the group consisting of NH2D, NHD2 and ND3.
  • 4. A method of forming a semiconductor device, comprising: receiving in a processing chamber a semiconductor device substrate comprising conductive features and a dielectric layer having formed therein a feature; andexposing the semiconductor device substrate to a reducing agent and UV radiation, without exposing the device substrate to a plasma, wherein the reducing agent is a reducing gas selected from the group consisting of NH3, NH2D, NHD2, ND3, H2, N2H4, and combinations of these with one another and/or inert gases, such that oxide on the conductive features is removed in a UV-mediated plasma-free process including exposure to a non-oxidizing reducing environment, and wherein the UV-mediated plasma-free process comprises exposing the oxide to hydrogen radicals.
  • 5. A method of forming a semiconductor device, comprising: receiving in a processing chamber a semiconductor device substrate comprising a planarized surface having conductive features in a dielectric layer; andexposing the planarized surface to UV radiation and a reducing agent, without exposing the planarized surface to a plasma, wherein the reducing agent is a reducing gas selected from the group consisting of NH3, NH2D, NHD2, ND3, H2, N2H4,and combinations of these with one another and/or inert gases; whereby oxide on the conductive features is removed in a UV-mediated plasma-free process including exposure to a non-oxidizing reducing environment,wherein the UV-mediated plasma-free process comprises exposing the oxide to hydrogen radicals.
CROSS-REFERENCE TO RELATED APPLICATION

This application claims priority to U.S. Provisional Patent Application No. 61/260,789 filed Nov. 12, 2009, titled UV AND REDUCING TREATMENT FOR K RECOVERY AND SURFACE CLEAN IN SEMICONDUCTOR PROCESSING. This provisional patent application is incorporated herein by reference in its entirety for all purposes.

US Referenced Citations (332)
Number Name Date Kind
3704219 McDowell Nov 1972 A
3983385 Troue Sep 1976 A
4313969 Matthews et al. Feb 1982 A
4357451 McDaniel Nov 1982 A
4391663 Hutter, III Jul 1983 A
4563589 Scheffer Jan 1986 A
4751191 Gonsiorawski et al. Jun 1988 A
4837289 Mueller et al. Jun 1989 A
4885262 Ting et al. Dec 1989 A
4927786 Nishida May 1990 A
4956582 Bourassa Sep 1990 A
5028560 Tsukamoto et al. Jul 1991 A
5174881 Iwasaki et al. Dec 1992 A
5178682 Tsukamoto et al. Jan 1993 A
5195045 Keane et al. Mar 1993 A
5249076 Fujiwara et al. Sep 1993 A
5268320 Holler et al. Dec 1993 A
5282121 Bornhorst et al. Jan 1994 A
5288684 Yamazaki et al. Feb 1994 A
5298939 Swanson et al. Mar 1994 A
5300331 Schaeffer Apr 1994 A
5314538 Maeda et al. May 1994 A
5364667 Rhieu Nov 1994 A
5407524 Patrick et al. Apr 1995 A
5413664 Yagi et al. May 1995 A
5504042 Cho et al. Apr 1996 A
5552927 Wheatly et al. Sep 1996 A
5556549 Patrick et al. Sep 1996 A
5558717 Zhao et al. Sep 1996 A
5582880 Mochizuki et al. Dec 1996 A
5667592 Boitnott et al. Sep 1997 A
5686054 Barthel et al. Nov 1997 A
5700844 Hedrick et al. Dec 1997 A
5734187 Bohr et al. Mar 1998 A
5789027 Watkins et al. Aug 1998 A
5812403 Fong et al. Sep 1998 A
5840600 Yamazaki et al. Nov 1998 A
5851715 Barthel et al. Dec 1998 A
5858457 Brinker et al. Jan 1999 A
5876798 Vassiliev Mar 1999 A
5877095 Tamura et al. Mar 1999 A
6015503 Butterbaugh et al. Jan 2000 A
6090442 Klaus et al. Jul 2000 A
6098637 Parke Aug 2000 A
6132814 Livesay et al. Oct 2000 A
6136680 Lai et al. Oct 2000 A
6140252 Cho et al. Oct 2000 A
6150272 Liu et al. Nov 2000 A
6228563 Starov et al. May 2001 B1
6232248 Shinriki et al. May 2001 B1
6239018 Liu et al. May 2001 B1
6254689 Meder Jul 2001 B1
6268288 Hautala et al. Jul 2001 B1
6270846 Brinker et al. Aug 2001 B1
6271273 You et al. Aug 2001 B1
6280171 Buazza Aug 2001 B1
6284050 Shi et al. Sep 2001 B1
6288493 Lee et al. Sep 2001 B1
6290589 Tolles Sep 2001 B1
6329017 Liu et al. Dec 2001 B1
6329062 Gaynor Dec 2001 B1
6333268 Starov et al. Dec 2001 B1
6340628 Van Cleemput et al. Jan 2002 B1
6348407 Gupta et al. Feb 2002 B1
6365266 MacDougall et al. Apr 2002 B1
6367412 Ramaswamy et al. Apr 2002 B1
6383466 Domansky et al. May 2002 B1
6383955 Matsuki et al. May 2002 B1
6386466 Ozawa et al. May 2002 B1
6387453 Brinker et al. May 2002 B1
6391932 Gore et al. May 2002 B1
6392017 Chandrashekar May 2002 B1
6394797 Sugaya et al. May 2002 B1
6399212 Sakai et al. Jun 2002 B1
6407007 Tsan et al. Jun 2002 B1
6420441 Allen et al. Jul 2002 B1
6444715 Mukherjee et al. Sep 2002 B1
6467491 Sugiura et al. Oct 2002 B1
6475854 Narwankar et al. Nov 2002 B2
6479374 Ioka et al. Nov 2002 B1
6479409 Shioya et al. Nov 2002 B2
6485599 Glownia et al. Nov 2002 B1
6518130 Ohno Feb 2003 B1
6531193 Fonash et al. Mar 2003 B2
6534395 Werkhoven et al. Mar 2003 B2
6548113 Birnbaum et al. Apr 2003 B1
6558755 Berry et al. May 2003 B2
6563092 Shrinivasan et al. May 2003 B1
6566278 Harvey et al. May 2003 B1
6568346 Pu et al. May 2003 B2
6572252 Rangarajan et al. Jun 2003 B1
6576300 Berry et al. Jun 2003 B1
6596467 Gallagher et al. Jul 2003 B2
6596654 Bayman et al. Jul 2003 B1
6635575 Xia et al. Oct 2003 B1
6644786 Leben Nov 2003 B1
6677251 Lu et al. Jan 2004 B1
6740602 Hendriks et al. May 2004 B1
6740605 Shiraiwa et al. May 2004 B1
6756085 Waldfried et al. Jun 2004 B2
6759098 Han et al. Jul 2004 B2
6770866 Retschke et al. Aug 2004 B2
6797643 Rocha-Alvarez et al. Sep 2004 B2
6800546 Konishi et al. Oct 2004 B2
6805801 Humayun et al. Oct 2004 B1
6812043 Bao et al. Nov 2004 B2
6821906 Wada et al. Nov 2004 B2
6830624 Janakiraman et al. Dec 2004 B2
6831284 Demos et al. Dec 2004 B2
6835417 Saenger et al. Dec 2004 B2
6848458 Shrinivasan et al. Feb 2005 B1
6856712 Fauver et al. Feb 2005 B2
6884738 Asai et al. Apr 2005 B2
6899857 Pheng et al. May 2005 B2
6902440 Dougan et al. Jun 2005 B2
6921727 Chiang et al. Jul 2005 B2
6958301 Kim et al. Oct 2005 B2
6962871 Lee et al. Nov 2005 B2
6967160 Paton et al. Nov 2005 B1
7005390 Ramachandrarao et al. Feb 2006 B2
7017514 Shepherd et al. Mar 2006 B1
7018918 Kloster et al. Mar 2006 B2
7025831 Butterbaugh et al. Apr 2006 B1
7030041 Li et al. Apr 2006 B2
7094713 Niu et al. Aug 2006 B1
7097712 Yamazaki et al. Aug 2006 B1
7112541 Xia et al. Sep 2006 B2
7132334 Lin Nov 2006 B2
7144606 Huang Dec 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7166531 van den Hoek et al. Jan 2007 B1
7169256 Dhindsa et al. Jan 2007 B2
7176144 Wang et al. Feb 2007 B1
7195548 Hardikar et al. Mar 2007 B1
7208389 Tipton et al. Apr 2007 B1
7235459 Sandhu Jun 2007 B2
7241704 Wu et al. Jul 2007 B1
7244672 Nguyen et al. Jul 2007 B2
7247582 Stern et al. Jul 2007 B2
7253125 Bandyopadhyay et al. Aug 2007 B1
7256111 Lopatin et al. Aug 2007 B2
7264676 Lai et al. Sep 2007 B2
7265061 Cho et al. Sep 2007 B1
7304302 Nunan et al. Dec 2007 B1
7327948 Shrinivasan et al. Feb 2008 B1
7332445 Lukas et al. Feb 2008 B2
7381659 Nguyen et al. Jun 2008 B2
7390537 Wu et al. Jun 2008 B1
7394067 Soltz et al. Jul 2008 B1
7402532 Clevenger et al. Jul 2008 B2
7481882 Won et al. Jan 2009 B2
7482265 Chen et al. Jan 2009 B2
7504663 Yamazaki et al. Mar 2009 B2
7510982 Draeger et al. Mar 2009 B1
7538012 Ohmi et al. May 2009 B2
7611757 Bandyopadhyay et al. Nov 2009 B1
7622162 Schravendijk et al. Nov 2009 B1
7705431 Sanganeria et al. Apr 2010 B1
7790633 Tarafdar et al. Sep 2010 B1
7851232 van Schravendijk et al. Dec 2010 B2
7858533 Liu et al. Dec 2010 B2
7906174 Wu et al. Mar 2011 B1
8043667 Bandyopadhyay et al. Oct 2011 B1
8062983 Draeger et al. Nov 2011 B1
8063983 Kotake et al. Nov 2011 B2
8137465 Shrinivasan et al. Mar 2012 B1
8211510 Varadarajan et al. Jul 2012 B1
8242028 van Schravendijk et al. Aug 2012 B1
8454750 Shrinivasan et al. Jun 2013 B1
8465991 Varadarajan et al. Jun 2013 B2
8512818 Varadarajan et al. Aug 2013 B1
8535767 Kimura Sep 2013 B1
8629068 Shrinivasan et al. Jan 2014 B1
8715788 Bandyopadhyay et al. May 2014 B1
8889233 Kelman et al. Nov 2014 B1
8980769 Haverkamp et al. Mar 2015 B1
9050623 Varadarajan Jun 2015 B1
20010001501 Lee et al. May 2001 A1
20010014512 Lyons et al. Aug 2001 A1
20020001973 Wu et al. Jan 2002 A1
20020015850 Nakamura et al. Feb 2002 A1
20020016085 Huang et al. Feb 2002 A1
20020034626 Liu et al. Mar 2002 A1
20020052124 Raaijmakers et al. May 2002 A1
20020064341 Fauver et al. May 2002 A1
20020106500 Albano et al. Aug 2002 A1
20020117109 Hazelton et al. Aug 2002 A1
20020123218 Shioya et al. Sep 2002 A1
20020123240 Gallagher et al. Sep 2002 A1
20020148563 Carlson et al. Oct 2002 A1
20020172766 Laxman et al. Nov 2002 A1
20020187627 Yuang Dec 2002 A1
20020195683 Kim et al. Dec 2002 A1
20030013280 Yamanaka Jan 2003 A1
20030015764 Raaijmakers et al. Jan 2003 A1
20030064604 Umeda Apr 2003 A1
20030064607 Leu et al. Apr 2003 A1
20030068881 Xia et al. Apr 2003 A1
20030134038 Paranjpe Jul 2003 A1
20030157248 Watkins et al. Aug 2003 A1
20030157267 Waldfried et al. Aug 2003 A1
20030199603 Walker et al. Oct 2003 A1
20030203321 Ma et al. Oct 2003 A1
20030227087 Kakamu et al. Dec 2003 A1
20030228769 Chen et al. Dec 2003 A1
20030228770 Lee et al. Dec 2003 A1
20040002617 Rantala et al. Jan 2004 A1
20040004247 Forbes et al. Jan 2004 A1
20040018319 Waldfried et al. Jan 2004 A1
20040022960 Rhee et al. Feb 2004 A1
20040023513 Aoyama et al. Feb 2004 A1
20040029391 Kirkpatrick et al. Feb 2004 A1
20040033662 Lee et al. Feb 2004 A1
20040058090 Waldfried et al. Mar 2004 A1
20040062633 Rice et al. Apr 2004 A1
20040069410 Moghadam et al. Apr 2004 A1
20040072405 Yao et al. Apr 2004 A1
20040082163 Mori et al. Apr 2004 A1
20040096593 Lukas et al. May 2004 A1
20040096672 Lukas et al. May 2004 A1
20040099915 Takayama et al. May 2004 A1
20040099952 Goodner et al. May 2004 A1
20040101633 Zheng et al. May 2004 A1
20040102031 Kloster et al. May 2004 A1
20040115933 Jung et al. Jun 2004 A1
20040152239 Bao et al. Aug 2004 A1
20040166240 Rhee et al. Aug 2004 A1
20040175501 Lukas et al. Sep 2004 A1
20040175957 Lukas et al. Sep 2004 A1
20040185679 Ott et al. Sep 2004 A1
20040221871 Fletcher et al. Nov 2004 A1
20040224496 Cui et al. Nov 2004 A1
20040226621 Suguro et al. Dec 2004 A1
20050016687 Shinriki et al. Jan 2005 A1
20050025892 Satoh et al. Feb 2005 A1
20050026454 Konishi et al. Feb 2005 A1
20050032293 Clark et al. Feb 2005 A1
20050056369 Lai et al. Mar 2005 A1
20050064712 Andreas Mar 2005 A1
20050064726 Reid et al. Mar 2005 A1
20050079717 Savas et al. Apr 2005 A1
20050095840 Bhanap et al. May 2005 A1
20050101154 Huang May 2005 A1
20050112282 Gordon et al. May 2005 A1
20050153533 Hoshino et al. Jul 2005 A1
20050156285 Gates et al. Jul 2005 A1
20050161821 Lee et al. Jul 2005 A1
20050164497 Lopatin et al. Jul 2005 A1
20050170104 Jung et al. Aug 2005 A1
20050191803 Matsuse et al. Sep 2005 A1
20050194619 Edelstein et al. Sep 2005 A1
20050208758 Lu et al. Sep 2005 A1
20050233598 Jung et al. Oct 2005 A1
20050255712 Kato et al. Nov 2005 A1
20050260357 Olsen et al. Nov 2005 A1
20050260420 Collins et al. Nov 2005 A1
20050263719 Ohdaira et al. Dec 2005 A1
20050264218 Dhindsa et al. Dec 2005 A1
20050272220 Waldfried et al. Dec 2005 A1
20060024976 Waldfried et al. Feb 2006 A1
20060027929 Cooney et al. Feb 2006 A1
20060046516 Weber Mar 2006 A1
20060063662 Hata et al. Mar 2006 A1
20060105106 Balseanu et al. May 2006 A1
20060110936 Hill et al. May 2006 A1
20060118817 Haisma Jun 2006 A1
20060121208 Siegel Jun 2006 A1
20060141806 Waldfried et al. Jun 2006 A1
20060142143 Abrevaya et al. Jun 2006 A1
20060145304 Boyanov et al. Jul 2006 A1
20060189133 Dimitrakopoulos et al. Aug 2006 A1
20060216839 Shenesh et al. Sep 2006 A1
20060220251 Kloster Oct 2006 A1
20060246672 Chen et al. Nov 2006 A1
20060260538 Ye et al. Nov 2006 A1
20060265868 Rueger et al. Nov 2006 A1
20060269693 Balseanu et al. Nov 2006 A1
20070015355 Lin et al. Jan 2007 A1
20070020940 Ohmi et al. Jan 2007 A1
20070032024 Peidous et al. Feb 2007 A1
20070042581 Sano et al. Feb 2007 A1
20070054504 Chen et al. Mar 2007 A1
20070065578 McDougall Mar 2007 A1
20070105292 Chen et al. May 2007 A1
20070132054 Arghavani et al. Jun 2007 A1
20070134821 Thakur et al. Jun 2007 A1
20070134907 Ikeda et al. Jun 2007 A1
20070161230 Chen et al. Jul 2007 A1
20070189961 Iacopi et al. Aug 2007 A1
20070196011 Cox et al. Aug 2007 A1
20070196972 Shima Aug 2007 A1
20070207624 Chua Sep 2007 A1
20070215377 Aoki Sep 2007 A1
20070222081 Chen et al. Sep 2007 A1
20070224824 Chen et al. Sep 2007 A1
20070228570 Dimitrakopoulos et al. Oct 2007 A1
20070254204 Shin et al. Nov 2007 A1
20070275569 Moghadam et al. Nov 2007 A1
20070281497 Liu et al. Dec 2007 A1
20070287240 Chen et al. Dec 2007 A1
20080009141 Dubois et al. Jan 2008 A1
20080020591 Balseanu et al. Jan 2008 A1
20080026579 Lai et al. Jan 2008 A1
20080053615 Sago et al. Mar 2008 A1
20080132055 Nguyen et al. Jun 2008 A1
20080199977 Weigel et al. Aug 2008 A1
20080242118 Dimitrakopoulos et al. Oct 2008 A1
20080254643 Clevenger et al. Oct 2008 A1
20080286697 Verhaverbeke et al. Nov 2008 A1
20080305600 Liao et al. Dec 2008 A1
20080318437 Kim et al. Dec 2008 A1
20080318438 Nakamura et al. Dec 2008 A1
20090017640 Huh et al. Jan 2009 A1
20090039475 Shioya Feb 2009 A1
20090059406 Powers et al. Mar 2009 A1
20090207624 Ma et al. Aug 2009 A1
20090243001 Ramkumar et al. Oct 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090278116 Yamate Nov 2009 A1
20100018460 Singh et al. Jan 2010 A1
20100216303 Ohkura Aug 2010 A1
20100261349 van Schravendijk et al. Oct 2010 A1
20100267231 van Schravendijk et al. Oct 2010 A1
20100317198 Antonelli et al. Dec 2010 A1
20110045610 van Schravendijk et al. Feb 2011 A1
20110111533 Varadaraj An et al. May 2011 A1
20110117678 Varadarajan et al. May 2011 A1
20110236593 Okino et al. Sep 2011 A1
20120061718 Yamazaki et al. Mar 2012 A1
20140004717 Chan et al. Jan 2014 A1
20140080324 Shrinivasan et al. Mar 2014 A1
20140094038 Haverkamp et al. Apr 2014 A1
Foreign Referenced Citations (25)
Number Date Country
1531749 Sep 2004 CN
1624895 Jun 2005 CN
101005023 Jul 2007 CN
102074500 May 2011 CN
62-229833 Oct 1987 JP
01-107519 Apr 1989 JP
05-031735 Feb 1993 JP
05-138658 Jun 1993 JP
09-306892 Nov 1997 JP
11214364 Aug 1999 JP
2001-104776 Apr 2001 JP
2006165573 Jun 2006 JP
2007-508691 Apr 2007 JP
2007-194582 Aug 2007 JP
63-307740 Dec 2008 JP
2010-103151 Jun 2010 JP
2000-0043888 Jul 2000 KR
10-1201039 Nov 2012 KR
201130045 Sep 2011 TW
201237959 Sep 2012 TW
2006104583 Oct 2006 WO
2006127463 Nov 2006 WO
2007043206 Apr 2007 WO
2008156608 Dec 2008 WO
2012087620 Jun 2012 WO
Non-Patent Literature Citations (249)
Entry
Cho et al., “Plasma Treatments of Molecularly Templated Nanoporous Silica Films,” Electrochemical and Solid-State Letters, 4 (4) G35-G38 (2001).
Yung et al., “Spin-on Mesoporous Silica Films with Ultralow Dielectric Constants, Ordered Pore Structures, and Hydrophobic Surfaces,” Adv. Mater. 2001, 13, No. 14, 1099-1102.
Schulberg et al., “System for Deposition of Mesoporous Materials,” U.S. Appl. No. 10/295,965, filed Nov. 15, 2002, 64 Pages.
Watkins et al., “Mesoporous Materials and Methods,” U.S. Appl. No. 10/301,013, filed Nov. 21, 2002, 34 Pages.
Justin F. Gaynor, “In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments,” U.S. Appl. No. 10/056,926, filed Jan. 24, 2002, 34 Pages.
Jan, C.H., et al, 90NM Generation, 300mm Wafer Low k ILD/Cu Interconnect Technology, 2003 IEEE Interconnect Technology Conference.
Gangpadhyay et al., “The First International Surface Cleaning Workshop,” Northeastern University, Nov. 11-14, 2002.
Wu et al., “Method and Apparatus of UV Exposure of Low Dielectric Constant Materials for Porogen Removal and Improved Mechanical Properties”, Novellus Systems, Inc., U.S. Appl. No. 10/807,680, filed Mar. 23, 2004, pp. 1-34.
Tipton et al., “Method of Porogen Removal From Porous Low-K Films Using UV Radiation”, Novellus Systems, Inc., U.S. Appl. No. 10/672,311, filed Sep. 26, 2003, pp. 1-27.
U.S. Office Action dated Sep. 7, 2004, from U.S. Appl. No. 10/672,311.
U.S. Office Action dated Dec. 28, 2004, from U.S. Appl. No. 10/672,311.
Peter Singer, “New Materials and Designs to Improve Transistor Performance”, Apr. 1, 2004, Semiconductor International.
Ghani et al, “A 90nm High vol. Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors”, IEEE, © 2003.
Bhadri N. Varadarajan, “Tensile Silicon Nitride—P1264 NESL”, C & F Study, Aug. 21, 2003.
U.S. Office Action dated Mar. 2, 2005, from U.S. Appl. No. 10/860,340.
U.S. Final Office Action dated Jun. 13, 2005, from U.S. Appl. No. 10/860,340.
Varadarajan et al., “Tensile Dielectric Films Using UV Curing”, U.S. Appl. No. 10/972,084, filed Oct. 22, 2004.
Draeger et al., “Creation of Porosity in Low-K Films by Photo-Disassociation of Imbedded Nanoparticles,” U.S. Appl. No. 11/146,456, filed Jun. 6, 2005.
Cho et al., “Methods of Improving Porogen Removal and Film Mechanical Strength in Producing Ultra Low-K Carbon Doped Oxide Films Using Radical Photopolymerization”, U.S. Appl. No. 10/982,654, filed Nov. 5, 2004.
U.S. Final Office Action dated Jul. 13, 2005, from U.S. Appl. No. 10/672,311.
U.S. Office Action dated Dec. 20, 2005, from U.S. Appl. No. 10/672,311.
U.S. Office Action dated Mar. 29, 2006, from U.S. Appl. No. 10/800,377.
Kelman et al., “Method for Reducing Stress in Porous Dielectric Films”, U.S. Appl. No. 11/369,311, filed Mar. 6, 2006.
U.S. Office Action dated Jun. 28, 2006, from U.S. Appl. No. 10/825,888.
U.S. Office Action dated Dec. 27, 2006, from U.S. Appl. No. 10/825,888.
Cho et al., “Method and Apparatus for UV Exposure of Low Dielectric Constant Materials for Porogen Removal and Improved Mechanical Properties”, Novellus Systems, Inc., U.S. Appl. No. 11/656,661, filed Jan. 22, 2007, pp. 1-28.
Shrinivasan et al., “Single-Chamber Sequential Curing of Semiconductor Wafers,” Novellus Systems, Inc., U.S. Appl. No. 11/115,576, filed Apr. 26, 2005, pp. 1-29.
Kamian et al., “Ultra Violet Light Treatment Load Lock for Dielectric Films,” Novellus Systems, Inc., U.S. Appl. No. 11/561,834, filed Nov. 20, 2006, pp. 1-25.
Schravendijk et al., “UV Treatment of Etch Stop and Hard Mask Films for Selectivity and Hermeticity Enhancement,” Novellus Systems, Inc., U.S. Appl. No. 11/696,102, filed Apr. 3, 2007, pp. 1-22.
Vancouver et al., “PECVD Methods for Producing Ultra Low-K Dielectric Films Using UV Treatment,” U.S. Appl. No. 11/608,056, filed Dec. 7, 2006, pp. 1-34.
Tarafdar et al., “Sequential Deposition/Anneal Film Densification Method”, Novellus Systems, Inc., filed Sep. 11, 2006, U.S. Appl. No. 11/519,445.
Schravendijk, “UV Treatment of FSG Films to Improve Film Stability,” Novellus Systems, Inc., U.S. Appl. No. 11/622,423, filed Jan. 11, 2007, pp. 1-31.
Schravendijk, et al., “UV Treatment of STI Films for Stress,” Novellus Systems, Inc., U.S. Appl. No. 11/811,048, filed Jun. 7, 2007.
Arghavani et al., Strain Engineering in Non-Volatile Memories, Reed Business Information, 2007, six pages.
Notice of Allowance and Fee Due dated May 22, 2006, from U.S. Appl. No. 10/672,311.
Allowed Claims from U.S. Appl. No. 10/672,311.
Notice of Allowance and Fee Due dated Apr. 4, 2007, from U.S. Appl. No. 10/825,888.
Allowed Claims from U.S. Appl. No. 10/825,888.
Bandyopadhyay et al., “Method to Improve Mechanical Strength of Low-K Dielectric Film Using Modulated UV Exposure,” Novellus Systems, Inc., U.S. Appl. No. 11/824,049, filed Jun. 28, 2007.
Notice of Allowance and Fee Due dated Oct. 10, 2006, from U.S Appl. No. 10/800,377.
Allowed Claims from U.S. Appl. No. 10/800,377.
U.S. Office Action dated Nov. 28, 2007, from U.S. Appl. No. 10/807,680.
R.J. Lewis, Sr., Hawley's Condensed Chemical Dictionary, 12th Edition, Van Nostrad Reinhold Co., New York, 1993 (no month), excerpts pp. 916-918 & 1123-1124.
Notice of Allowance and Fee Due dated Dec. 20, 2005, from U.S. Appl. No. 10/860,340.
Allowed Claims from U.S. Appl. No. 10/860,340.
U.S. Office Action dated Dec. 12, 2007, from U.S. Appl. No. 11/146,456.
U.S. Office Action dated Oct. 3, 2007, from U.S. Appl. No. 11/115,576.
Shaviv et al., “UV Treatment to Improve Integrity and Performance of Front End Dielectrics,” Novellus Systems, Inc., U.S. Appl. No. 11/622,409, filed Jan. 11, 2007.
van Schravendijk et al., “UV Treatment for Carbon-Containing Low-K Dielectric Repair in Semiconductor Processing,” Novellus Systems, Inc., U.S. Appl. No. 11/590,661, filed Oct. 30, 2006.
Shrinivassan et al., “Multi-Station Sequential Curing of Dielectric Films,” Novellus Systems, Inc., U.S. Appl. No. 11/688,695, filed Mar. 20, 2007.
Varadarajan et al., “A Cascaded Cure Approach to Fabricate Highly Tensile Silicon Nitride Films,” Novellus Systems, Inc., U.S. Appl. No. 11/897,838, filed Aug. 31, 2007.
Van den Hoek, et al., “VLSI Fabrication Processes for Introducing Pores Into Dielectric Materials,” Novellus Systems, Inc., U.S. Appl. No. 11/606,340, filed Nov. 28, 2006.
U.S. Office Action dated Jan. 10, 2008, from U.S. Appl. No. 11/622,423.
Bhadri Varadarajan et al., “Development of High Stress SiN Films for Use with Strained Silicon Technologies”; Proc. 68th Symp. On Semiconductors and IC Tech.; Kyoto 2005.
U.S. Office Action dated Apr. 3, 2008, from U.S. Appl. No. 10/982,654.
U.S. Office Action dated Sep. 19, 2008, from U.S. Appl. No. 11/824,049.
U.S. Final Office Action dated Jul. 10, 2008, from U.S. Appl. No. 10/807,680.
U.S. Final Office Action dated Jul. 25, 2008, from U.S. Appl. No. 11/146,456.
U.S. Final Office Action dated Jul. 9, 2008, from U.S. Appl. No. 10/982,654.
U.S. Office Action dated May 14, 2008, from U.S. Appl. No. 11/519,445.
U.S. Final Office Action dated May 2, 2008, from U.S. Appl. No. 11/115,576.
U.S. Office Action dated Oct. 17, 2008, from U.S. Appl. No. 11/115,576.
U.S. Office Action dated Jul. 23, 2008, from U.S. Appl. No. 11/622,423.
U.S. Appl. No. 11/590,661, Office Action dated Apr. 6, 2009.
U.S. Appl. No. 11/811,048, Office Action dated Mar. 19, 2009.
Rodriquez, J.A. et al., “Evolution of the mechanical stress on PECVD silicon oxide films under thermal processing”, Journal of Materials Science Letters 19, 2000, pp. 1399-1401.
U.S. Appl. No. 11/606,340, Office Action dated Feb. 5, 2009.
U.S. Appl. No. 11/824,049, Office Action dated Mar. 19, 2009.
U.S. Appl. No. 11/824,049, Notice of Allowance dated Jun. 22, 2009.
U.S. Appl. No. 11/824,049, Allowed Claims.
U.S. Appl. No. 10/982,654, Office Action dated Dec. 4, 2008.
U.S. Appl. No. 11/519,445, Office Action dated Dec. 10, 2008.
U.S. Appl. No. 10/972,084, Office Action dated Dec. 30, 2008.
U.S. Appl. No. 11/115,576, Office Action dated Apr. 22, 2009.
Draeger et al., “Creation of Porosity in Low-K Films by Photo-Disassociation of Imbedded Nanoparticles,” U.S. Appl. No. 12/369,384, filed Feb. 11, 2009.
U.S. Appl. No. 11/688,695, Office Action dated Jun. 11, 2009.
U.S. Appl. No. 11/696,102, Office Action dated Jul. 1, 2009.
Yu, J.J. et al., “UV Annealing of Ultrathin Tantalum Oxide Films”, Applied Surface Science, V 186 (2002), 57-63.
U.S. Appl. No. 11/811,048, Notice of Allowance dated Aug. 17, 2009.
U.S. Appl. No. 11/811,048, Allowed Claims.
U.S. Appl. No. 11/369,311, Office Action dated Aug. 20, 2009.
U.S. Appl. No. 11/608,056, Office Action dated Aug. 20, 2009.
P. Morin et al., “Tensile contact etch stop layer for nMOS performance enhancement: influence of the film morphology”, ECS meeting, May 2005.
Takagi et al., “High Rate Deposition of a-Si:H and a-SiNx:H by VHF PECVD”, Vacuum, 51, 1998.
Smith, D.L et al., “Mechanism of SiN3-SiH4 Llasma”, J. Electrochem. Soc., vol. 137 (2) 1990.
Nagayoshi et al., “Residual Stress of a Si1-xNx: H Films Prepared by Afterglow Plasma Chemical Vapor Deposition Technique”, Jpn. J. Appl. Phys. vol. 31 (1992) pp. L867-L869 Part 2, No. 7A, Jul. 1, 1992.
Varadarajan et al., “Use of VHF RF plasma to deposit high tensile stress films with improved film properties for use in strained silicon technology”, U.S. Appl. No. 11/975,473, filed Oct. 18, 2007.
U.S. Appl. No. 11/975,473, Office Action dated Oct. 28, 2008.
U.S. Appl. No. 11/975,473, Office Action dated Mar. 23, 2009.
Jiang et al., “Tensile dielectric films using UV curing”, U.S. Appl. No. 11/899,683, filed Sep. 7, 2007.
U.S. Appl. No. 11/899,683, Office Action dated May 29, 2009.
U.S. Appl. No. 11/519,445, Office Action dated Aug. 26, 2009.
U.S. Appl. No. 11/622,409, Office Action dated Jul. 1, 2009.
Haverkamp et al., “Enhancing adhesion of cap layer films”, U.S. Appl. No. 11/731,581, filed Mar. 30, 2007.
U.S. Appl. No. 11/731,581, Office Action dated Jun. 1, 2009.
U.S. Appl. No. 11/115,576, Office Action dated Oct. 1, 2009.
U.S. Appl. No. 11/975,473, Office Action dated Oct. 9, 2009.
Bandyopadhyay et al., “Method to Improve Mechanical Strength of Low-K Dielectric Film Using Modulated UV Exposure,” Novellus Systems, Inc., U.S. Appl. No. 12/566,514, filed Sep. 24, 2009.
U.S. Appl. No. 11/622,409, Office Action dated Nov. 5, 2009.
U.S. Appl. No. 11/519,445, Office Action dated Nov. 4, 2009.
U.S. Appl. No. 10/972,084, Office Action dated Nov. 27, 2009.
Haverkamp, et al., “Multi-Station Sequential Curing of Dielectric Films,” Novellus Systems, Inc., U.S. Appl. No. 11/977,792, filed Oct. 25, 2007.
Haverkamp, et al., “Progressive UV Cure,” Novellus Systems, Inc., U.S. Appl. No. 12/210,060, filed Sep. 12, 2008.
U.S. Appl. No. 11/696,102, Final Office Action dated Dec. 22, 2009.
U.S. Appl. No. 11/369,311, Office Action dated Jan. 5, 2010.
U.S. Appl. No. 11/688,695, Final Office Action dated Dec. 31, 2009.
U.S. Appl. No. 11/590,661, Final Office Action dated Jan. 25, 2010.
U.S. Appl. No. 11/656,661, Office Action dated Jan. 22, 2010.
U.S. Appl. No. 11/731,581, Office Action dated Feb. 4, 2010.
U.S. Appl. No. 11/899,683, Office Action dated Feb. 8, 2010.
Wu, et al., “Methods for Fabricating Zeolite Nano-Crystal Based Low-K Dielectric Films Containing Si (CxHy)n Groups and Treating Films by Ultra-Violet Thermal Processing,” Novellus Systems, Inc., U.S. Appl. No. 12/172,089, filed Jul. 11, 2008.
U.S. Appl. No. 11/977,792, Office Action dated Mar. 9, 2010.
Chaabouni, H. et al., “Porous SiOCH Ultra Low-K recovery treatments after direct CMP process”, Advanced Metallization Conference, Sep. 2008.
Chaabouni, H. et al., “Sidewall restoration of porous ultra low-k dielectrics for sub-45 nm technology nodes”, Microelectronic Engineering 84 (2007).
Huang, H. et al., “O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics”, Advanced Metallization Conference, Sep. 2008.
U.S. Appl. No. 10/975,028, Office Action dated Oct. 6, 2005.
U.S. Appl. No. 10/975,028, Office Action dated Mar. 24, 2006.
U.S. Appl. No. 10/975,028, Notice of Allowance dated May 8, 2006.
U.S. Appl. No. 11/811,048, Notice of Allowance dated Oct. 8, 2009.
U.S. Appl. No. 11/608,056, Office Action dated Mar. 23, 2010.
U.S. Appl. No. 11/975,473, Office Action dated Mar. 25, 2010.
Takagi et al., “High Rate Deposition of a-SiNx:H by VHF PECVD”, Mat. Res. Soc. Symp. Proc. vol. 467, 1997, Materials Research Society.
U.S. Appl. No. 12/726,263, “Apparatus for UV damage repair of low K films prior to copper barrier deposition”, van Schravendijk et al., filed Mar. 17, 2010.
U.S. Appl. No. 11/519,445, Notice of Allowance dated Apr. 21, 2010.
U.S. Appl. No. 11/561,834, Office Action dated May 21, 2010.
U.S. Appl. No. 12/840,192, “Sequential deposition / anneal film densification method”, Tarafdar et al., filed Jul. 20, 2010.
U.S. Appl. No. 11/688,695, Office Action dated Jul. 23, 2010.
U.S. Appl. No. 11/590,661, Notice of Allowance dated Aug. 6, 2010.
U.S. Appl. No. 11/656,661, Final Office Action dated Aug. 24, 2010.
U.S. Appl. No. 12/172,089, Office Action dated Sep. 13, 2010.
Li, Shuang et al., “Organic-functionalized pure-silica-zeolite MFI low-k films”, Chem. Mater. 2005, 17, Mar. 9, 2005, pp. 1851-1854.
U.S. Appl. No. 11/731,581, Final Office Action dated Sep. 2, 2010.
U.S. Appl. No. 10/972,084, Office Action dated Oct. 15, 2010.
U.S. Appl. No. 11/977,792, Office Action dated Oct. 25, 2010.
U.S. Appl. No. 11/975,473, Office Action dated Nov. 1, 2010.
U.S. Appl. No. 11/608,056, Notice of Allowance dated Nov. 2, 2010.
U.S. Appl. No. 12/566,514, Office Action dated Jan. 11, 2011.
U.S. Appl. No. 11/561,834, Final Office Action dated Dec. 3, 2010.
U.S. Appl. No. 11/696,102, Office Action dated Jan. 26, 2011.
U.S. Appl. No. 11/688,695, Office Action dated Feb. 1, 2011.
U.S. Appl. No. 11/146,456, Notice of Allowance dated Nov. 10, 2008.
U.S. Appl. No. 11/146,456, Supplemental Notice of Allowance dated Dec. 15, 2008.
U.S. Office Action dated Feb. 22, 2011, from U.S. Appl. No. 12/369,384.
U.S. Appl. No. 12/172,089, Office Action dated Jun. 14, 2011.
U.S. Appl. No. 11/369,311, Office Action dated Apr. 13, 2011.
U.S. Appl. No. 12/172,089, Final Office Action dated Apr. 14, 2011.
U.S. Appl. No. 10/972,084, Office Action dated May 2, 2011.
U.S. Appl. No. 11/656,661, Office Action dated May 19, 2011.
U.S. Appl. No. 11/731,581, Office Action dated Jun. 2, 2011.
U.S. Appl. No. 11/977,792, Office Action dated Jul. 6, 2011.
U.S. Appl. No. 11/897,838, Office Action dated Jul. 11, 2011.
U.S. Appl. No. 12/566,514, Notice of Allowance dated Jul. 13, 2011.
U.S. Appl. No. 12/566,514, Allowed Claims as of Jul. 13, 2011.
U.S. Appl. No. 11/696,102, Final Office Action dated Aug. 11, 2011.
U.S. Appl. No. 12/369,384, Notice of Allowance dated Aug. 19, 2011.
U.S. Appl. No. 12/369,384, Allowed Claims as of Aug. 19.
Korean Notification of Provisional Rejection dated Jul. 12, 2011 for Application No. 10-2010-0066153.
U.S. Appl. No. 11/369,311, Final Office Action dated Sep. 29, 2011.
U.S. Appl. No. 12/973,549, Office Action dated Oct. 11, 2011.
U.S. Appl. No. 11/977,792, Office Action dated Oct. 24, 2011.
U.S. Appl. No. 10/972,084, Office Action dated Oct. 27, 2011.
U.S. Appl. No. 11/656,661, Final Office Action dated Nov. 10, 2011.
U.S. Appl. No. 12/940,324, Office Action dated Dec. 13, 2011.
U.S. Appl. No. 11/731,581, Office Action dated Nov. 28, 2011.
U.S. Appl. No. 11/115,576, Notice of Allowance dated Nov. 14, 2011.
U.S. Appl. No. 12/210,060, Office Action dated Nov. 28, 2011.
U.S. Appl. No. 11/688,695, Office Action dated Dec. 14, 2011.
U.S. Appl. No. 12/840,192, Office Action dated Feb. 6, 2012.
U.S. Appl. No. 13/275,209, Office Action dated Mar. 12, 2012.
U.S. Appl. No. 11/369,311, Office Action dated Mar. 7, 2012.
U.S. Appl. No. 11/696,102, Notice of Allowance dated Feb. 24, 2012.
U.S. Appl. No. 11/696,102, Allowed Claims, Feb. 24, 2012.
U.S. Appl. No. 11/897,838, Notice of Allowance dated Mar. 2, 2012.
U.S. Appl. No. 11/897,838, Allowed Claims, Mar. 2, 2012.
Deshmukh, et al., “Remote Plasma Etching Reactors: Modeling and Experiment,” J. Vac. Sci. Technol., B 11(2), Mar./Apr. 1993, pp. 206-215.
SG patent application No. 2010079747, Examination Report dated Apr. 25, 2012.
U.S. Appl. No. 10/972,084, Office Action dated May 1, 2012.
U.S. Appl. No. 12/726,263, Office Action dated May 31, 2012.
Varadarajan et al., “A Cascaded Cure Approach to Fabricate Highly Tensile Silicon Nitride Films,” Novellus Systems, Inc., U.S. Appl. No. 13/487,051, filed Jun. 1, 2012.
U.S. Appl. No. 12/973,549, Office Action dated Jun. 7, 2012.
U.S. Appl. No. 12/840,192, Office Action dated Jul. 19, 2012.
U.S. Appl. No. 13/886,694, filed May 3, 2013, entitled “Multi-Station Sequential Curing of Dielectric Films.”
U.S. Final Office Action dated Aug. 15, 2012 issued in U.S. Appl. No. 13/275,209.
U.S. Office Action dated Jul. 19, 2012 issued in U.S. Appl. No. 11/656,661.
U.S. Final Office Action dated Jan. 30, 2013 issued in U.S. Appl. No. 11/656,661.
U.S. Notice of Allowance dated Sep. 20, 2012 issued in U.S. Appl. No. 12/840,192.
U.S. Office Action dated Mar. 15, 2013 issued in U.S. Appl. No. 12/840,192.
U.S. Office Action dated Oct. 5, 2012 issued in U.S. Appl. No. 10/972,084.
U.S. Final Office Action dated May 22, 2013 issued in U.S. Appl. No. 10/972,084.
U.S. Final Office Action dated Jul. 31, 2012 issued in U.S. Appl. No. 11/369,311.
U.S. Final Office Action dated Jun. 21, 2012 issued in U.S. Appl. No. 11/688,695.
U.S. Notice of Allowance dated Oct. 4, 2012 issued in U.S. Appl. No. 11/688,695.
U.S. Notice of Allowance dated Feb. 14, 2013 issued in U.S. Appl. No. 11/688,695.
U.S. Office Action dated Aug. 28, 2012 issued in U.S. Appl. No. 11/731,581.
U.S. Final Office Action dated Sep. 10, 2012 issued in U.S. Appl. No. 12/726,263.
U.S. Office Action dated Mar. 6, 2013, issued in U.S. Appl. No. 12/726,263.
U.S. Office Action, dated Jan. 3, 2013, issued in U.S. Appl. No. 13/487,051.
U.S. Notice of Allowance, dated Apr. 22, 2013, issued in U.S. Appl. No. 13/487,051.
U.S. Final Office Action dated Oct. 3, 2012, issued in U.S. Appl. No. 12/210,060.
U.S. Office Action dated Jan. 3, 2013 issued in U.S. Appl. No. 12/973,549.
U.S. Notice of Allowance dated Feb. 22, 2013 issued in U.S. Appl. No. 12/973,549.
Chinese Office Action dated Jan. 14, 2013 issued in Appl. No. 201010539625.7.
Korean Notification of Provisional Rejection dated Mar. 26, 2012 issued in Appl. No. 10-2010-0066153.
Taiwan Office Action dated Mar. 27, 2013 issued in Application No. 099123184.
PCT International Search Report and Written Opinion dated Dec. 26, 2012, issued in WO Patent Application No. PCT/US2011/064246.
Bandyopadhyay et al., “Method to Improve Mechanical Strength of Low-K Dielectric Film Using Modulated UV Exposure,” Novellus Systems, Inc., U.S. Appl. No. 13/275,209, filed Oct. 17, 2011.
U.S. Appl. No. 14/086,732 entitled Multi-Station Sequential Curing of Dielectric Films by Shrinivasan et al. filed Nov. 21, 2013.
Haverkamp et al., “Enhancing adhesion of cap layer films”, U.S. Appl. No. 14/026,894, filed Sep. 13, 2013.
U.S. Office Action dated Jul. 25, 2013 in U.S. Appl. No. 13/275,209.
U.S. Notice of Allowance dated May 3, 2010 in U.S. Appl. No. 11/519,445.
U.S. Final Office Action dated Aug. 8, 2013 in U.S. Appl. No. 10/972,084.
U.S. Office Action dated Jun. 11, 2013 issued in U.S. Appl. No. 11/369,311.
U.S. Final Office Action dated Oct. 25, 2013 issued in U.S. Appl. No. 11/369,311.
U.S. Office Action dated Jul. 19, 2013 in U.S. Appl. No. 13/886,694.
U.S. Notice of Allowance dated Sep. 12, 2013 in U.S. Appl. No. 13/886,694.
U.S. Final Office Action dated Jun. 14, 2013 in U.S. Appl. No. 11/731,581.
U.S. Final Office Action, dated Aug. 7, 2013, issued in U.S. Appl. No. 12/726,263.
Chinese Second Office Action dated Sep. 10, 2013 issued in Appl. No. 201010539625.7.
PCT International Preliminary Report on Patentability and Written Opinion dated Jul. 4, 2013, issued in PCT/US2011/064246.
Korean Office Action dated Sep. 26, 2013, issued in KR 2013-7019282.
Taiwan Search Report dated Aug. 20, 2013 issued in TW 100147212.
US Office Action (Supplemental), dated Jan. 10, 2006, issued in U.S. Appl. No. 10/672,311.
U.S. Notice of Allowance dated Jan. 9, 2014 issued in U.S. Appl. No. 13/275,209.
U.S. Examiner's Answer to Appeal Brief (filed Nov. 20, 2013) Before the Patent Trial and Appeal Board on Mar. 13, 2014 issued in U.S. Appl. No. 10/972,084.
U.S. 2nd or Subsequent Examiner's Answer to Appeal Brief (filed Nov. 20, 2013) Before the Patent Trial and Appeal Board on Apr. 2, 2014 issued in U.S. Appl. No. 10/972,084.
U.S. Office Action dated Mar. 17, 2014 issued in U.S. Appl. No. 11/369,311.
U.S. Notice of Allowance dated Jul. 17, 2014 issued in U.S. Appl. No. 11/369,311.
U.S. Office Action dated Feb. 25, 2014 issued in U.S. Appl. No. 11/977,792.
U.S. Office Action dated Mar. 31, 2014 issued in U.S. Appl. No. 14/026,894.
U.S. Office Action dated Apr. 24, 2014 issued in U.S. Appl. No. 12/210,060.
Chinese Third Office Action dated May 23, 2014 issued in Appl. No. 201010539625.7.
Korean Second Office Action dated Dec. 5, 2013, issued in KR 2013-7019282.
U.S. Appl. No. 14/517,732, filed Oct. 17, 2014, entitled “Low-K Damage Repair and Pore Sealing Agents With Photosensitive End Groups.”
Chinese Fourth Office Action dated Mar. 24, 2015 issued in Appl. No. 201010539625.7.
Chinese Fifth Office Action dated Oct. 19, 2015 issued in Appl. No. 201010539625.7.
Grill et al. (Nov. 15, 2003) “Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy charaterization,” Journal of Applied Physics, 94(10):6697-6707.
U.S. Office Action dated Jun. 18, 2015 issued in U.S. Appl. No. 14/086,732.
U.S. Final Office Action dated Nov. 20, 2015 issued in U.S. Appl. No. 14/086,732.
U.S. Notice of Allowance dated Oct. 8, 2014 issued in U.S. Appl. No. 11/977,792.
U.S. Notice of Allowance dated Feb. 9, 2015 issued in U.S. Appl. No. 11/977,792.
U.S. Final Office Action dated Dec. 5, 2014 issued in U.S. Appl. No. 14/026,894.
U.S. Final Office Action dated Nov. 17, 2014, issued in U.S. Appl. No. 12/210,060.
U.S. Notice of Allowance dated Feb. 5, 2015, issued in U.S. Appl. No. 12/210,060.
Daumont et al., (1992) “Ozone UV Spectroscopy I: Absorption Cross-Sections at Room Temperature,” Journal of Atmospheric Chemistry, 15:145-155.
Ityaksov, D. et al., (2008) “Deep-UV absorption and Rayleigh scattering of carbon dioxide,” Chemical Physical Letters, 462:31-34.
Malicet et al., (1995) “Ozone UV Spectroscopy. II. Absorption Cross-Sections and Temperature Dependence”, Journal of Atmospheric Chemistry, 21:263-273.
van Dishoeck, et al., (Jun. 20, 2011) “Molecular photodissociation,” Modern Concepts in Laboratory Astrochemistry, arXiv:1106.3917v1 [astro-ph.IM], 18 pp.
Venot, O. et al., (2013) “High-temperature measurements of VUV-absorption cross sections of CO2 and their application to exoplanets,” Astronomy & Astrophysics, 551:A131.
Lambert et al., “Reactive Ultraviolet Thermal Processing of Low Dielectric Constant Materials,” U.S. Appl. No. 14/546,990, filed Nov. 18, 2014.
Related Publications (1)
Number Date Country
20110111533 A1 May 2011 US
Provisional Applications (1)
Number Date Country
61260789 Nov 2009 US