Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Information

  • Patent Grant
  • 11626313
  • Patent Number
    11,626,313
  • Date Filed
    Friday, December 18, 2020
    3 years ago
  • Date Issued
    Tuesday, April 11, 2023
    a year ago
Abstract
The present disclosure relates to a semiconductor processing apparatus having a reaction chamber which can include a baseplate having an opening; a moveable substrate support configured to support a substrate; a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate; a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support; and a sealing element configured to form a seal between the baseplate and the substrate support, the seal positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support. In some embodiments, the sealing element can also include a plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element.
Description
INCORPORATION BY REFERENCE TO ANY PRIORITY APPLICATIONS

Any and all applications for which a foreign or domestic priority claim is identified in the Application Data Sheet as filed with the present application are hereby incorporated by reference under 37 CFR 1.57.


BACKGROUND
Field of the Disclosure

The present disclosure relates to systems and methods for handling and processing semiconductor substrates and, in particular, to reactors used for the fabrication of thin films.


Description of the Related Art

In the processing of semiconductor devices, such as transistors, diodes, and integrated circuits, a plurality of such devices are typically fabricated simultaneously on a thin slice of semiconductor material, termed a substrate, wafer, or workpiece. The fabrication process includes, among other steps, vapor deposition for depositing thin films on surfaces of substrates. These methods include vacuum evaporation deposition, Molecular Beam Epitaxy (MBE), different variants of Chemical Vapor Deposition (CVD) (including low-pressure and organometallic CVD and plasma-enhanced CVD), and Atomic Layer Deposition (ALD).


In an ALD process, one or more substrates with at least one surface to be coated are introduced into a deposition chamber. The substrate is heated to a desired temperature, typically above the condensation temperatures of the selected vapor phase reactants and below their thermal decomposition temperatures. One reactant is capable of reacting with the adsorbed species of a prior reactant to form a desired product on the substrate surface. Two, three or more reactants are provided to the substrate, typically in spatially and temporally separated pulses.


In an example, in a first pulse, a first reactant representing a precursor material is adsorbed largely intact in a self-limiting process on a wafer. The process is self-limiting because the vapor phase precursor cannot react with or adsorb upon the adsorbed portion of the precursor. After any remaining first reactant is removed from the wafer or chamber, the adsorbed precursor material on the substrate reacted with a subsequent reactant pulse to form no more than a single molecular layer of the desired material. The subsequent reactant may, e.g., strip ligands from the adsorbed precursor material to make the surface reactive again, replace ligands and leave additional material for a compound, etc. In an unadulterated ALD process, less than a monolayer is formed per cycle on average due to steric hindrance, whereby the size of the precursor molecules prevent access to adsorption sites on the substrate, which may become available in subsequent cycles. Thicker films are produced through repeated growth cycles until the target thickness is achieved. Growth rate is often provided in terms of angstroms per cycle because in theory the growth depends solely on number of cycles, and has no dependence upon mass supplied or temperature, as long as each pulse is saturative and the temperature is within the ideal ALD temperature window for those reactants (no thermal decomposition and no condensation).


Reactants and temperatures are typically selected to avoid both condensation and thermal decomposition of the reactants during the process, such that chemical reaction is responsible for growth through multiple cycles. However, in certain variations on ALD processing, conditions can be selected to vary growth rates per cycle, possibly beyond one molecular monolayer per cycle, by utilizing hybrid CVD and ALD reaction mechanisms. Other variations maybe allow some amount of spatial and/or temporal overlap between the reactants. In ALD and variations thereof, two, three, four or more reactants can be supplied in sequence in a single cycle, and the content of each cycle can be varied to tailor composition.


During a typical ALD process, the reactant pulses, all of which are in vapor form, are pulsed sequentially into a reaction space (e.g., reaction chamber) with removal steps between reactant pulses to avoid direct interaction between reactants in the vapor phase. For example, inert gas pulses or “purge” pulses can be provided between the pulses of reactants. The inert gas purges the chamber of one reactant pulse before the next reactant pulse to avoid gas phase mixing. To obtain a self-limiting growth, a sufficient amount of each precursor is provided to saturate the substrate. As the growth rate in each cycle of a true ALD process is self-limiting, the rate of growth is proportional to the repetition rate of the reaction sequences rather than to the flux of reactant.


When implementing ALD or other deposition processes, it is desirable that the workpiece does not become contaminated by particulates, which may lead to device failure. Accordingly, reactors in which workpieces are processed are typically sealed to prevent contamination from the exterior of the reaction space from entering the reaction space and to prevent reactants and reactant byproducts from escaping to the exterior of the reaction space.


SUMMARY

According to one embodiment, a semiconductor processing apparatus is provided. The semiconductor processing apparatus comprises a reaction chamber comprising a baseplate including an opening, a moveable substrate support configured to support a substrate, a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate, a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support, and a sealing element configured to form a seal between the baseplate and the substrate support, the seal positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support.


According to certain embodiments, each aperture can comprise a slot, and the plurality of apertures can be spaced around an outer edge of the sealing element. The apparatus can further comprise a second plurality of slots arranged on a radially inner portion of the sealing element.


According to one embodiment, a semiconductor processing apparatus comprises a reaction chamber comprising a baseplate including an opening, a moveable substrate support configured to support a substrate, a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate, and a metal sealing element extending around the substrate support and configured to form a seal between the baseplate and the substrate support. A plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element.


According to certain embodiments, each aperture can comprise a slot, and the plurality of apertures can be spaced around an outer edge of the sealing element. The apparatus can further comprise a second plurality of slots arranged on a radially inner portion of the sealing element.


According to one embodiment, a semiconductor processing apparatus comprises a reaction chamber comprising a baseplate including an opening, a moveable substrate support comprising a substrate retention portion configured to support a substrate, a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate, and a sealing element configured to form a seal between the baseplate and the substrate support. The seal is positioned radially from a center of the substrate support at a distance at least 30% or greater than the distance between the center of the substrate support and an outer edge of the substrate retention portion. A plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element.


For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.


All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments of the present invention will become readily apparent to those skilled in the art from the following detailed description of the preferred embodiments having reference to the attached figures, the invention not being limited to any particular preferred embodiment(s) disclosed.





BRIEF DESCRIPTION OF THE DRAWINGS

These and other features, aspects, and advantages of the invention disclosed herein are described below with reference to the drawings of some embodiments, which are intended to illustrate and not to limit the invention.



FIG. 1 is a perspective, cross-sectional view of an embodiment of a semiconductor processing apparatus.



FIG. 2A is a front, cross-sectional view of an embodiment of the semiconductor processing apparatus of FIG. 1.



FIG. 2B is a front, cross-sectional view of an embodiment of a portion of the semiconductor processing apparatus of FIG. 1.



FIG. 3A is an enlarged, cross sectional view of a contact seal isolating the loading chamber from the reaction chamber according to an embodiment of a semiconductor processing apparatus.



FIG. 3B is an enlarged view of a portion of FIG. 3A.



FIG. 3C is an enlarged view similar to FIG. 3B but of an embodiment with a baseplate having a curved surface.



FIG. 3D is a top view of a sealing element according to an embodiment.



FIG. 4 is an enlarged, cross sectional view of a seal isolating the loading chamber from the reaction chamber according to an embodiment of a semiconductor processing apparatus.



FIG. 5 is an enlarged, cross sectional view of a seal isolating the loading chamber from the reaction chamber according to an embodiment of a semiconductor processing apparatus.



FIG. 6 is an enlarged, cross sectional view of a seal isolating the loading chamber from the reaction chamber according to an embodiment of a semiconductor processing apparatus.



FIG. 7 is an enlarged, cross sectional view of a seal isolating the loading chamber from the reaction chamber according to an embodiment of a semiconductor processing apparatus.





DETAILED DESCRIPTION

Although certain embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention herein disclosed should not be limited by the particular disclosed embodiments described below.


In vapor or gas deposition processes, it can be important to provide uniform deposition across the width or major surface of the substrate (e.g., a semiconductor wafer). Uniform deposition ensures that deposited layers have the same thickness and/or chemical composition across the substrate, which improves the yield of integrated devices (e.g., processors, memory devices, etc.), and therefore the profitability per substrate. During such deposition processes, it can also be important to avoid exposure of the substrate and the reaction chamber from reaction byproducts, particulates, or other contaminants, to similarly improve yield and profitability.


The embodiments disclosed herein can be utilized with semiconductor processing devices configured for any suitable gas or vapor deposition process. For example, the illustrated embodiments show various systems for depositing material on a substrate using atomic layer deposition (ALD) techniques. Among vapor deposition techniques, ALD has many advantages, including high conformality at low temperatures and fine control of composition during the process. ALD type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by feeding the precursors alternately and sequentially into the reaction chamber. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant by-products from the reaction chamber between reactant pulses. Removal can be accomplished by a variety of techniques, including purging and/or lowering pressure between pulses. Pulses can be sequential in a continuous flow, or the reactor can be isolated and can backfilled for each pulse.


Briefly, a substrate is loaded into a reaction chamber and is heated to a suitable deposition temperature, generally at lowered pressure. Deposition temperatures are typically maintained below the precursor thermal decomposition temperature but at a high enough level to avoid condensation of reactants and to provide the activation energy for the desired surface reactions. Of course, the appropriate temperature window for any given ALD reaction will depend upon the surface termination and reactant species involved.


A first reactant is conducted into the chamber in the form of vapor phase pulse and contacted with the surface of a substrate. Conditions are preferably selected such that no more than about one monolayer of the precursor is adsorbed on the substrate surface in a self-limiting manner. Excess first reactant and reaction byproducts, if any, are purged from the reaction chamber, often with a pulse of inert gas such as nitrogen or argon.


Purging the reaction chamber means that vapor phase precursors and/or vapor phase byproducts are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical purging times for a single wafer reactor are from about 0.05 to 20 seconds, more preferably between about 1 and 10 seconds, and still more preferably between about 1 and 2 seconds. However, other purge times can be utilized if desired, such as when depositing layers over extremely high aspect ratio structures or other structures with complex surface morphology is needed, or when a high volume batch reactor is employed. The appropriate pulsing times can be readily determined by the skilled artisan based on the particular circumstances.


A second gaseous reactant is pulsed into the chamber where it reacts with the first reactant bound to the surface. Excess second reactant and gaseous by-products of the surface reaction are purged out of the reaction chamber, preferably with the aid of an inert gas. The steps of pulsing and purging are repeated until a thin film of the desired thickness has been formed on the substrate, with each cycle leaving no more than a molecular monolayer. Some ALD processes can have more complex sequences with three or more precursor pulses alternated, where each precursor contributes elements to the growing film. Reactants can also be supplied in their own pulses or with precursor pulses to strip or getter adhered ligands and/or free by-product, rather than contribute elements to the film. Additionally, not all cycles need to be identical. For example, a binary film can be doped with a third element by infrequent addition of a third reactant pulse, e.g., every fifth cycle, in order to control stoichiometry of the film, and the frequency can change during the deposition in order to grade film composition. Moreover, while described as starting with an adsorbing reactant, some recipes may start with the other reactant or with a separate surface treatment, for example to ensure maximal reaction sites to initiate the ALD reactions (e.g., for certain recipes, a water pulse can provide hydroxyl groups on the substrate to enhance reactivity for certain ALD precursors).


As mentioned above, each pulse or phase of each cycle is preferably self-limiting. An excess of reactant precursors is supplied in each phase to saturate the susceptible structure surfaces. Surface saturation ensures reactant occupation of all available reactive sites (subject, for example, to physical size or steric hindrance restraints) and thus ensures excellent step coverage over any topography on the substrate. In some arrangements, the degree of self-limiting behavior can be adjusted by, e.g., allowing some overlap of reactant pulses to trade off deposition speed (by allowing some CVD-type reactions) against conformality. Ideal ALD conditions with reactants well separated in time and space provide near perfect self-limiting behavior and thus maximum conformality, but steric hindrance results in less than one molecular layer per cycle. Limited CVD reactions mixed with the self-limiting ALD reactions can raise the deposition speed. While embodiments described herein are particularly advantageous for sequentially pulsed deposition techniques, like ALD and mixed-mode ALD/CVD, the embodiments herein can also be employed for pulsed or continuous CVD, or other semiconductor processes.


Examples of suitable reactors that may be used include commercially available ALD equipment such as any of the EmerALD®, Synergis®, or Eagle® series reactors, available from ASM International of Almere, the Netherlands. Many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors, can be employed. In some embodiments, a flow type ALD reactor is used, as compared to a backfilled reactor. For example, a plurality of inlets designed to evenly distribute gas into the reaction space may be implemented. For example, a dispersion mechanism such as a showerhead assembly may be implemented above a single-wafer reaction space.


The ALD processes can optionally be carried out in a reactor or reaction space connected to a cluster tool. In a cluster tool, because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which is the substrate is heated to the process temperature before each run. A stand-alone reactor can be equipped with a load-lock. In that case, it is not necessary to cool down the reaction space between each run. These processes can also be carried out in a reactor designed to process multiple substrates simultaneously, e.g., a mini-batch type showerhead reactor.


Some known semiconductor deposition apparatus designs include an upper processing chamber configured for horizontal reactant flow parallel to the surface of the wafer, and a lower loading chamber. A gap is configured between the two chambers configured to provide flow therethrough. The gap reduces inert gas purge flow from the lower chamber into the upper chamber, while also reducing diffusion of process byproducts from the reaction space into the lower chamber. However, these conventional designs are not effective for recent deposition processes which use increased gas flow rates. This is because the higher flow rates increase the pressure in the reaction chamber, and in turn, the loading chamber pressure is increased to prevent process chemistry from migrating into the lower chamber. The higher loading chamber pressure results in higher flow from the lower chamber into the process space, which further raises the process pressure and dilutes the process chemistry at the edge of the wafer, resulting in deposition non-uniformity and waste. Additionally, the existing inert gas purge path from the lower chamber into the upper chamber is very close to the wafer edge which can cause flow disturbances. These flow disturbances can in turn impact process deposition uniformity and/or generate particles. Soft seals, such as elastomeric O-rings that might be used for creating an isolating seal, cannot work at high process temperatures.


Described herein are embodiments of a sealing element that can be implemented to provide a seal between a process (e.g., upper) chamber and a loading (e.g., lower) chamber of a semiconductor processing apparatus. The sealing element can be configured such that when the process and loading chambers are moved to a processing position, a seal is formed between the two chambers. The sealing element is configured, for example, with apertures of a controlled size, shape, positioning and/or quantity, such that when the seal is formed, a controlled amount of gas can still flow between the two chambers. This configuration is different from the aforementioned conventional systems that do not have any sealing element, do not have direct sealing contact between the upper or lower chamber, and which maintain an unobstructed flow path via a gap between the two chambers.


Embodiments herein can provide a soft, flexible, contact seal to improve isolation of the loading chamber from the reaction chamber. The sealing element can provide a metal-to-metal contact seal providing controlled isolation between the two chambers. Although not a perfect seal, the embodiments can significantly reduce the flow from the lower chamber into the process space, and reduces diffusion from the process space into the lower chamber, improving process uniformity. The seal can be positioned further away radially from the edge of the substrate, relative to conventional processes, to also improve process uniformity and prevent contamination, for example, at the wafer edge. For example, the seal can be positioned proximate to the vacuum exhaust ports. Positioning the seal further from the edge of the substrate can also address concerns for particle generation that may occur at the seal contact area. A controlled flow of inert gas, via control of the configuration of the apertures in the sealing element, can provide a very low-flow purge to further reduce diffusion from the reaction chamber into the lower chamber. This purge flow can enter the reaction space at the outer edge of the reaction space (e.g., beyond the outer perimeter of the shower head) such that it does not disrupt the process gases close to the wafers edge, and thus does not affect process uniformity. The materials for the sealing element can be selected for compatibility with various process chemistries and temperatures. Examples of materials that can be used are hastelloy C22 and Grade 2 titanium. The sealing element can also be coated to further improve corrosion resistance (e.g. with Al2O3 deposited by ALD). Made of metal, the sealing element can handle high process temperatures (e.g. 680 C). The same hardware that is used to attach the sealing element to the perimeter of the substrate support can also be used to support a thermal isolation shield. The shield covers the underside of the susceptor support and heater to improve thermal uniformity.



FIG. 1 shows a perspective cross-sectional view of a semiconductor processing apparatus 100 comprising a loading chamber 101 and a reaction chamber 102, with a sealing element 114 positioned therebetween. Together, the loading chamber 101 and the reaction chamber 102 can be considered a process module. In the illustrated embodiment, the reaction chamber 101 is disposed above the loading chamber 102.


The reaction chamber 102 can comprise an inlet 105 and an outlet 107. The reaction chamber 102 can comprise a plurality of inlets 105. In some embodiments, the reaction chamber 102 can comprise a plurality of outlets 107. Gases such as reactants and purge gases can flow into the chamber 102 through the inlet 105. Outlet 107 can comprise an exhaust port to allow gases such as excess reactants, reactant byproducts, and purge gases to flow out of the chamber 102 through the outlet 107. The reaction chamber 102 can be configured as a single-wafer vertical flow reaction chamber. The reaction chamber can be configured as a gas or vapor deposition chamber, such as an ALD chamber.


The loading chamber 101 may comprise one or more inlets 104 and one or more outlets. In operation, gases such as purge gases may flow into the loading chamber 101 through the loading chamber inlet 104. The loading chamber outlet can comprise an exhaust port to allow gases such as excess reactants, reactant byproducts, and purge gases to flow out of the loading chamber 101. The reaction chamber outlet can be configured to exhaust gases from a position below the reaction chamber 102, and thus may be separate from the reaction chamber outlet 107. In some embodiments, the loading chamber may not have an exhaust outlet separate from the reaction chamber outlet 107. In some embodiments, gases may flow from the loading chamber, into and through the reaction chamber, and exhaust out of the reaction chamber outlet 107. The loading chamber can comprise a gate valve 111. The gate valve 111 can allow for loading and unloading of substrates to and from the loading chamber 101. The gate valve 111 may allow access into the loading chamber 101, for example, from a transfer chamber, load lock, processing chamber, clean room, etc. The depicted configuration, such as the positions of the gate valve 111, inlets 104, 105 and outlet 107 are illustrative, and may be adjusted based on, for example, the process to be performed in the reaction chamber 102, the desired flow path of the gases, etc. For example, inlet 104 to the loading chamber can be separate from the gate valve 111.


The apparatus 100 can comprise a substrate support 108 configured to receive and support a substrate, such as a semiconductor workpiece W (e.g., a silicon wafer). The workpiece W may be loaded and unloaded onto and off of the substrate support 108 in various ways, such as with an end effector of a robot. The substrate support 108 can comprise a substrate retention portion of various configurations. For example, the substrate support 108 may comprise lift-pins and/or cutouts to aid in loading and unloading of the workpiece W with a paddle or fork. The substrate support 108 may comprise a vacuum system that holds the workpiece W in place after loading, or gravity alone may hold the workpiece W. The substrate retention portion can comprise a recess 109 formed in its upper surface that is sized and shaped to hold the workpiece W. In some embodiments, the recess 109 is between 300 mm and 500 mm in diameter. In some embodiments, the recess 109 is between 300 mm and 305 mm in diameter. In some embodiments, the recess 109 is between 450 mm and 455 mm in diameter. In some embodiments, the substrate support 108 can comprise materials including hastelloy C22 or Grade 2 titanium. The substrate support 108 can comprise a coating of AL203. The substrate support 108 can be moveable between a loading and a processing position, as described presently.



FIGS. 2A and 2B show front cross-sectional views of the semiconductor processing apparatus 100 from FIG. 1. FIG. 2A shows the apparatus 100 with the substrate support 108 in a processing (e.g. raised or upper) position. FIG. 2B depicts the substrate support 108 in a loading (e.g., lowered or lower) position. As shown, the reaction chamber 102 can comprise a baseplate 112 with an opening 150. The baseplate 112 and its opening 150 can be positioned approximately between a loading space 121 within the loading chamber 101 and a reaction zone 122 within the reaction chamber 102.


The apparatus 100 can comprise a movement element 110 configured to move the substrate support 108, and thus a workpiece W disposed on the substrate support 108, towards and away from the opening 150. Thus, the movement element 110 can move the substrate support 108 between the processing position shown in FIG. 2A and the loading position shown in FIG. 2B. When the substrate support 108 is in the processing position, the reaction zone 122 can be formed, to allow processing within the chamber 102. The movement element 110 can be configured to move the substrate support 108 towards the opening 150 to form a seal between the interior of the chamber 102 and the exterior of the chamber 102 (such as the loading chamber 101), as described further below. The movement element 110 can comprise any suitable drive mechanism configured to move the substrate support 108.


As described above, the reaction chamber 102 can be configured to include the plurality of inlets 105. Inlets 105 can be configured to direct gasses in a downward flow (e.g., showerhead) formation. For example, the inlet or inlets 105 can direct gasses at some substantially non-parallel (e.g., non-horizontal) angle relative to a substantially planar upper surface of the substrate support 108 and substrate W positioned on the support 108. In this way, the inlets 105 can be configured to form a substantially downward, non-parallel flow path of gases towards the substrate support 108 and substrate W.


The inlets and outlets can be advantageously positioned, to reduce contamination and improve uniformity, in combination with the sealing features described herein. For example, the inlets 105 can be disposed radially inwardly from the outlets 107 (relative to a centerline 900 of the substrate support 108). In some embodiments, the outlet 107 can comprise an exhaust ring with a ring shape, such as a ring-shaped cavity formed in the upper portion of the apparatus 100. The exhaust ring can extend around the substrate support 108. In some embodiments where the outlet 107 is a ring-shaped cavity, the outlet 107 can be disposed above the radially outer-most edge of reaction chamber 102. The outlet 107 can exhaust gasses from the reaction chamber 102. In some embodiments, all of the inlets 105 are disposed radially inwardly from the radially inner-most edge of the baseplate 112. In some embodiments, all of the inlets 105 are disposed radially inwardly from the radially outer-most edge of the substrate support 108.


The apparatus 100 can be configured to provide semiconductor fabrication processes that involve high reactor pressures and/or high flow rates, as described above. Moreover, some processes can include rapid changes in pressure and/or flow rates. In such scenarios, as described above, it can be beneficial to control or restrict the amount of flow between the loading chamber 101 and the reactor chamber 102 or vice versa, to avoid contamination and/or improve process uniformity. For example, it may be desirable to provide a hermetic seal between the loading chamber 101 and the reactor chamber 102, when the apparatus 100 is in a processing position. In some embodiments, it may be desirable to provide a seal between the loading chamber 101 and the reactor chamber 102 that allows some amount of flow therebetween, without providing a fully hermetic seal.


Embodiments of the sealing element described herein can provide these and other benefits and functionality. For example, the sealing elements can substantially restrict or completely close a flow path between the loading chamber and the reaction chamber. As shown in FIG. 2A, the sealing element 114 can be configured to form a seal between the baseplate 112 and the substrate support 108, such that the processing chamber 102 and the loading chamber 101 are substantially sealed relative to each other. The sealing element 114 can comprise metal. The sealing element 114 can comprise a flexible material, to provide a flexible diaphragm structure. For example, the sealing element 114 can comprise a material with a Young's Modulus between about 11,000 ksi to 32,000 ksi, to provide said flexibility. The sealing element can comprise a formed metal sheet with a thickness between about 1 mm and about 3 mm to provide said flexibility. In some embodiments, the sealing element 114 can comprise materials including, but not limited to hastelloy C22 or Grade 2 titanium. The sealing element 114 can comprise a coating of at least one of Al2O3, ZrO, or Yttrium Oxide.



FIGS. 3A-3D illustrate the how the sealing element 114 interacts with the baseplate 112 and substrate support 108 to form a seal according to an embodiment of a semiconductor processing apparatus 100.



FIG. 3A shows an enlarged view of a portion of the apparatus 100 in FIG. 2A, in a processing position, with an embodiment of the sealing element 114. The apparatus 100 can comprise a gap that provides a flowpath, such as a gap 116 and a flowpath 901, between the processing chamber 102 and the loading chamber 101, when the apparatus 100 is in the processing position. For example, the gap 116 can be disposed between the substrate support 108 and the baseplate 112. The gap 116 can extend radially (e.g., horizontally) between the substrate support 108 and the baseplate 112 as shown. In some embodiments, the substrate support 108 and baseplate 112 can be configured to lack any vertical gap between these two components. For example, the inner perimeter of baseplate 112 can be located at a greater radial position than an outer perimeter of the substrate support 108. In such embodiments, the seals described elsewhere herein can be formed without a substantial vertical gap between the substrate support 108 and the baseplate 112.


The sealing element 114 can be configured to cover or bridge the gap 116 between the baseplate 112 and a portion of the substrate support 108. The sealing element 114 can create a seal 118 between chambers 101 and 102. The seal 118 can be a contact seal formed through direct contact between a portion of the sealing element 114 and another component. The contact seal can be a metal-to-metal contact seal. The seal 118 can substantially prevent gas from flowing from the loading chamber 101 to the reaction chamber 102. In this way, the seal 118 can substantially fluidly isolate the reaction chamber 102 from the loading chamber 101, such that process gas flow is completely or partially restricted between the reaction chamber 102 and the loading chamber 101. For example, the seal 118 can restrict gas flow through the flow path 901 within the gap 116. Embodiments of the sealing elements described herein may be implemented to restrict flow through other flow paths formed between other components, and to cover or seal other gap configurations than a horizontal or radially extending gap.


As described above, it may be beneficial to provide a seal between the loading chamber 101 and the processing chamber 102 that allows some limited amount of flow therebetween. In some embodiments, the sealing element 114 can comprise one or more apertures 120 to provide these benefits. The apertures 120 can be any of a number of different shapes, sizes, quantities, and positions through the sealing elements described herein. As shown, the apertures 120 can be formed in the outer edge of the sealing element 114. For example, the apertures 120 can be spaced (e.g., evenly) around the outer edge of the sealing element 114. The apertures 120 can allow flow path 901 to extend through the sealing element 114. By allowing a path for gas to flow through the sealing element 114, and controlling the configuration of the apertures 120, the apertures 120 can be used to control the amount of gas flow allowed between chambers 101 and 102. For example, the apertures 120 can control the amount of purge gas into the reaction chamber 102 from loading chamber 101.


Embodiments of the gap, the seal, the sealing element, and other components herein can be advantageously positioned, with respect to each other or other components to provide improved performance. For example, and with reference to FIGS. 2A and 3A, the exhaust port 107 can be positioned at a distance D1 from the center of substrate support 108. The seal 118 can be positioned at a distance D2 from the center of the substrate support 108. The apertures 120 can be positioned at a distance D3 from the center of the substrate support 108. Note that the distance D2 and D3 are shown as being the same in FIG. 3A but they can be the same or different with respect to each other. The inner edge of the baseplate 112 can be positioned at a distance D4 from the center of the substrate support 108. The gap 116 can be positioned at a distance D5 from the center of the substrate support 108. The outer edge of the substrate support 108 can be positioned at a distance D6 from the center of the substrate support 108. An outer edge of the substrate retention portion 109 can be positioned at a distance D7 from the center of the substrate support 108.


In some embodiments, the seal 108 can be positioned at a greater radial distance D2 from the center of the substrate support 108 than the distance from the outer edge of the substrate support 108 to the center D6. In some embodiments, at least one of the apertures 120 and the seal 108 can be positioned at a radial distance D3, D2, respectively, that is less than or equal to the distance D1 of the exhaust port 107, relative to a center of the substrate support 108. In some embodiments, the apertures 120 can be arranged at a greater radial distance D3 from the center of the substrate support 108 than the distance D5 to the gap 116. In some embodiments, the exhaust port 107 can be located at a greater radial distance D1 from the center of the substrate support than a distance from the center of the substrate support to the outermost plurality of gas inlets 105. In some embodiments, the plurality of gas inlets 105 is located radially inwardly from an inside edge of the baseplate 112 (i.e. the plurality of gas inlets 105 is at a smaller distance from the center of the substrate support 108 than the distance D4). In some embodiments, the radial distance between the outer edge of the substrate retention portion 109 and the seal 118 (i.e., D2-D7) is between about 50 mm to about 65 mm. In some embodiments, the seal 118 can be positioned radially from the center of the substrate support 108 at a distance D2 of at least 30% or greater than the distance D7 between the center of the substrate support 108 and an outer edge of the substrate retention portion 109. In some embodiments, the seal 118 can be positioned radially from the center of the substrate support 108 at a distance D2 of between 30% and 40% greater than the distance D7 between the center of the substrate support 108 and an outer edge of the substrate retention portion 109. The gap 116 can be located at a radial distance D5 from the center 900 of the substrate support 108 that is less than the radial distance D1 of the reaction chamber outlet 107 from the center of the substrate support 108. In some embodiments, the gap 116 is located at a radial distance of about 45 mm to about 55 mm away from an outer edge of the substrate retention portion 109. In some embodiments, the gap 116 comprises a width of about 5 mm. The apertures 120 can be located at a radial distance D3 from the center of the substrate support 108 that is less than the radial distance D1 of the reaction chamber outlet 107 from the center of the substrate support 108. In some embodiments, the apertures 120 allow a total of about 50 sccm to about 200 sccm of flow through the sealing element.


In some embodiments, the substrate support 108 can comprise an upper portion and a lower portion. In such embodiments, the lower portion can be a separate piece that is coupled to the upper portion. The upper portion of the substrate support 108 can comprise the substrate retention portion 109. In some embodiments, the lower portion of the substrate support 108 can comprise a heating element suitable to heat the substrate support 108. In some embodiments, the apparatus 100 comprises a heat shield 120 disposed beneath the substrate support 108. The heat shield 120 can block at least a portion of heat transfer from the heating element to the loading chamber 101. In some embodiments, the mounting hardware configured to mount the sealing element can also be used to mount the heat shield to the substrate support.


The sealing element can be positioned with respect to (e.g., attached to) various components of apparatus 100 suitable to provide the functionality described herein. The sealing element 114 can be disposed on, e.g., attached to, the underside of a portion of the substrate support 108, such as a radially-extending upper portion of the substrate support 108, as shown. When attached to the substrate support 108, a portion of the sealing element 114 can contact and form the seal 118 with the baseplate 112 when the substrate support 108 is in a processing position. The seal 118 can be formed with the baseplate 112 along a substantially radially outward portion of the sealing element 114, such as its outer perimeter or circumference (see also FIGS. 3B and 3C).


To form a stronger seal 118, components of apparatus 100 can be configured at advantageous positions with respect to each other, to provide a “bias” between sealing element 114 and the other component(s) with which it forms seal 118. For example, the portion of the substrate support 108 on which the sealing element 114 is mounted (such as the underside surface shown) can be positioned at a slightly higher vertical elevation than the corresponding sealing surface on substrate support 108. Such differences in elevation can provide a spring-like force or “bias” between the sealing element 114 and the sealing surface on substrate support 108, increasing the strength of seal 118. In some embodiments, the lower portion of substrate support 108 can be positioned at an elevation between about 1 mm and about 2 mm higher than that of the seal 118 on baseplate 112. In some embodiments, the sealing element 114 may flex when the substrate support is in a processing position. The sealing element 114 can be made from a resilient material, such as a flexible metal, to provide such flex and bias.



FIG. 3B shows an enlarged view of a portion of FIG. 3A showing the seal 118. As discussed above, the sealing element 114 can be attached to a portion of the substrate support 108 (e.g., the underside of the support 108), with the seal 118 formed between the point of contact of the sealing element 114 and the baseplate 112. Other embodiments are possible. For example, a sealing element can be attached to a first component, with a seal formed at the point of contact between the sealing element and a second component. Thus, for example, the sealing element can be attached to a stationary component, such as the baseplate, with a seal formed between the sealing element and the substrate support.


In some embodiments, the baseplate 112 comprises a surface with a varying elevation that forms a peak or apex, such as a substantially non-planar or curved surface, or a surface with multiple planar surfaces angled to form an apex. In embodiments where the baseplate 112 comprises a surface with a varying elevation, such as surface 113, the contact seal 118 can be formed at the contacting portion(s) between an apex 113a of the surface 113 and the sealing element 114. In some embodiments, a non-planar surface, or curved surface for engagement and sealing with the sealing element, can provide mechanical conformance for the contact seal. For example, the sealing element may wrap or conform along a curved surface of the component to which the sealing element is contacting, to increase the surface area of the seal.



FIG. 3C shows an embodiment similar to FIG. 3B but of an embodiment where the surface 113 is curved. The contact seal 118 can be formed at the contacting portion(s) between the apex 113a of the curved surface 113 and the sealing element 114. In some embodiments where the curved surface 113 and/or the sealing element 114 have undergone some amount of deformation, the contact seal 118 may exist across a larger portion than a single point when viewed in a cross-section. This deformation may occur as the result of force being applied to compress the curved surface 113 and sealing element 114 together. Thus, in some embodiments, upon forces being applied between the sealing element 114 and the baseplate 112, the embodiment shown in FIG. 3C may result in a configuration similar to that shown in FIG. 3B.



FIG. 3D shows a top view of the sealing element 114 according to an embodiment of the semiconductor processing apparatus 100. Referring to both FIGS. 3B and 3C, the apertures 120 can be located around a perimeter (e.g., the peripheral edge) of the sealing element 114. In some embodiments, the apertures 120 can each be configured to extend radially across the apex 113a of the surface 113 when apparatus 100 is in a processing position and seal 118 is formed (FIG. 3B). The apertures 120 can be configured such that at least a portion of the radially extending length of the apertures (shown as dimension “L”) is on the radially inward side of the apex of the surface 113. The apertures 120 can allow gas flow through the sealing element 114 and flow path 901, within the gap 116 through at least this portion of the apertures on the radially inward side of the apex 113a of the surface 113. The apertures can be positioned at a radial position that is approximately the same as or greater than the radial position of the gap 116.


In some embodiments, the apertures can comprise slots extending through the sealing element 114, although many different shapes are suitable. For example, apertures 120 are shown as slots with a width Wd and length L1. The contact seal 118 is depicted in FIG. 3C by a dotted line forming a first circumference that shows where the apex 113a of the surface 113 contacts the sealing element 114 according to some embodiments. A second circumference extending through apertures 120 around the sealing element 114 will generally be less than the first circumference formed by the shape of the contact seal 118. In some embodiments, the total circumference of the sealing element 114 that comprises apertures 120 will be far less than the total circumference of the sealing element 114 that comprises the contact seal 118. In some embodiments, the circumference of the sealing element 114 that comprises the contact seal 118 is 500 to 550 times greater than the circumference of the sealing element 114 that comprises the apertures 120. In some embodiments, the sealing element 114 comprises a total of 12 apertures 120. In some embodiments, the apertures 120 are each about 5.5 mm long and about 0.2 mm wide. However, a person of skill in the art will recognize that the apertures 120 can come in a variety of different numbers and sizes. In some embodiments, the total effective area of the apertures 120 is between 4 mm2 and 6 mm2. In some embodiments, the total effective area of the apertures 120 is about 4.8 mm2 where the total effective area is equal to the area of the apertures that is radially inward from the seal 118. As shown, the effective area is defined by the dimension L (FIGS. 3B and 3C) and the width W of the apertures 120 (FIG. 3C). In some embodiments, the total area of the apertures 120 is about 13.2 mm2.


In some embodiments, the sealing element 114 can comprise a second plurality of apertures 220 formed on the sealing element 114. The apertures 220 can be similarly configured as apertures 120, or differently configured. The apertures 220 can be positioned on a substantially radially inner portion of sealing element 114, compared to the first plurality of apertures 120. For example, sealing element 114 can comprise a substantially annular shape, with the apertures 220 spaced (e.g., evenly) around the inner edge of the inner diameter of the sealing element 114. The apertures 220 can reduce compressive stress due to differences in temperature across the sealing element 114 during processing.



FIGS. 4-7 show embodiments of semiconductor processing apparatuses which can include some similar features, and some different features, relative to those embodiments of apparatus 100 shown in FIGS. 1-3C. It will be appreciated that some aspects of the embodiments shown in FIGS. 4-7 may be implemented in combination with or instead of some aspects shown in FIGS. 1-3C, and vice versa.


For example, the embodiments shown in FIGS. 4-7 can include a flow control ring 119. In some embodiments, the flow control ring 119 can be an integral or separate component relative to the substrate support 108. The flow control ring 119 may be implemented in order to retrofit existing equipment with embodiments of the sealing elements and seals described herein.


The flow control ring 119 can encircle the circumference of the substrate support 108. In some embodiments, the flow control ring 119 can comprise materials including, but not limited to hastelloy C22 or Grade 2 titanium. The flow control ring 119 can comprise a coating of AL203. In some embodiments including the embodiments illustrated in FIGS. 4-7, the flow control ring 119 can be removed and replaced by the substrate support 108, or portions thereof. In those embodiments, the substrate support 108 is larger radially than the embodiments illustrated, and the substrate support 108 extends to the same distance radially as the flow control ring 119 would otherwise have extended.



FIG. 4 shows an enlarged cross-sectional view of one embodiment of a sealing element 214 the forms a contact seal 118 isolating the loading chamber 101 from the reaction chamber 102 of a semiconductor processing apparatus 200. In some embodiments, the apparatus 100 can have a flow control ring 119. The flow control ring can be disposed radially between the substrate support 108 and the gap 116. The flow control ring 119 can be coupled to the substrate support 108.


A sealing element 214 can be disposed on the under-side of the flow control ring 119. Apparatus 200 and sealing element 214 can be similar to apparatus 100 and sealing element 114, with some differences. For example, apertures 120 can be positioned on the sealing element 214 at a radial position that is less than that of the gap 116. A vertically extending gap 117 can be disposed between the flow control ring 119 and sealing element 114. In some embodiments, the vertical gap 117 can be created by moving the lower surface of the flow control ring 119 to a higher elevation than the baseplate 112, and flexing the sealing element 214 away from the lower surface of the flow control ring 119. In some embodiments with the vertical gap 117, a flowpath 902 can be formed extending through gap 116, the vertical gap 117, and apertures 120, to allow flow between the loading chamber 101 and the reaction chamber 102. Although illustrated with a flow control ring 119, the embodiments illustrated in FIG. 4 and described herein can also comprise an extended substrate support 108 instead of the flow control ring 119.



FIG. 5 shows an enlarged cross-sectional view of an embodiment of a sealing element 314 forming a contact seal 118 isolating the loading chamber 101 from the reaction chamber 102 of a semiconductor processing apparatus 300. In some embodiments, the contact seal 118 can be disposed on the upper side of the gap 116. The sealing element 314 can be affixed to the baseplate 112 (e.g., to an upper surface of baseplate 112). The sealing element 314 can also contact the flow control ring 119 and form the contact seal 118 when the apparatus 300 is in a processing position. By having the sealing element 314 disposed on the upper side of the gap 116, this design can take advantage of high pressure in the reactor chamber 102 that can apply downward pressure on the sealing element 314. This downward pressure on the sealing element 314 can create a stronger seal. Although not illustrated in FIG. 4, the sealing element 314 can comprise apertures 120 disposed over the gap 116 (e.g., at a similar radial position). Although illustrated with a flow control ring 119, the embodiments illustrated in FIG. 5 and described herein can also comprise an extended substrate support 108 instead of the flow control ring 119.



FIG. 6 shows an enlarged view of a contact seal 118 according to one embodiment of a semiconductor processing apparatus 400. Apparatus 400 can comprise bellows 218. In some embodiments, the baseplate 112 can comprise bellows 218. The bellows 218 can contact the sealing element 414. The contact seal 118 can be formed at the contact between the bellows 218 and the sealing element 414. In some embodiments, the bellows 218 can be formed integrally with or attached to the sealing element 414, and the seal 118 can be formed between the bellows and the baseplate 112. In some embodiments, the bellows 218 can comprise three convolutions. The bellows 218 can be compressible. The compression of the bellows 218 can provide compliance for the contact seal 118. In some embodiments, the bellows 218 can be compressed up to 1 mm-2 mm. Although not illustrated in FIG. 5, the sealing element 414 and/or the bellows 218 can comprise apertures, similar to apertures 120 in the other embodiments, so that gas may flow through the sealing element 414. These apertures can be disposed on the peripheral edge of the sealing element 414 or somewhere more radially inward, for example, under the gap 116. Although illustrated with a flow control ring 119, the embodiments illustrated in FIG. 6 and described herein can also comprise an extended substrate support 108 instead of the flow control ring 119.



FIG. 7 shows an enlarged, cross sectional view of a seal 318 isolating the loading chamber 101 from the reaction chamber 102 according to an embodiment of a semiconductor processing apparatus 500. In some embodiments, the sealing element 314 can comprise an elastic or elastomeric material. In some embodiments, the apparatus 500 can comprise a pneumatic input 317. The pneumatic input 317 can pneumatically actuate the sealing element 314. The pneumatic input 317 can actuate the sealing element 314 by providing pressurized gas to the sealing element 314. In some embodiments, the pneumatic input 317 can extend through a portion of the apparatus 500. In some embodiments, the pneumatic input 317 can extend through the baseplate 112. In some embodiments, the apparatus will comprise a gap 316 where gas could possibly flow between the loading chamber 101 and the reaction chamber 102 when the substrate support 108 and moving element 110 are in a loading position. The sealing element 314 can span across the gap 316 between the baseplate 112 and the flow control ring 119 when the sealing element 314 is actuated, or in an engaged or pressurized configuration. In some embodiments, gas can flow between the loading chamber 101 and the reaction chamber 102 through gaps in the labyrinth formed between the flow control ring 119 and the baseplate 112. The apparatus 500 can comprise a seal 318. The seal 318 can be formed where the sealing element 314 contacts the flow control ring 119. In some embodiments, the apparatus 100 can comprise a second seal 320 where the substrate support 108 and the flow control ring 119 engage in the loading position. The second seal 320 can comprise a metal to metal seal. Although illustrated with a flow control ring 119, the embodiments illustrated in FIG. 7 and described herein can also comprise an extended substrate support 108 instead of the flow control ring 119. A similar pneumatic actuator such as that is shown in FIG. 7 can be implemented in other embodiments described herein. For example, a pneumatic input and pneumatic sealing element similar to those in FIG. 7 could be extended horizontally from the baseplate 112 to create a seal against the substrate support 108 in the embodiments shown in FIGS. 3A-3C.


The foregoing description details some embodiments of the invention. It will be appreciated, however, that no matter how detailed the foregoing appears in text, the invention can be practiced in many ways. As is also stated above, it should be noted that the use of particular terminology when describing certain features or aspects of the invention should not be taken to imply that the terminology is being re-defined herein to be restricted to including any specific characteristics of the features or aspects of the invention with which that terminology is associated. The scope of the invention should therefore be construed in accordance with the appended claims and any equivalents thereof.

Claims
  • 1. A semiconductor processing apparatus comprising: a reaction chamber comprising a baseplate including an opening, wherein the baseplate comprises a surface having an apex;a moveable substrate support configured to support a substrate and configured to move towards the opening of the baseplate;a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support;a sealing ring configured to form a seal between the apex and the sealing ring; anda plurality of apertures extending through the sealing ring, the apertures extending radially across the apex and configured to provide a flow path between a position below the sealing ring and a position above the sealing ring.
  • 2. The apparatus of claim 1, wherein the seal is positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support.
  • 3. The apparatus of claim 1, wherein the seal comprises a metal to metal contact between the sealing ring and at least one of the baseplate and the substrate support.
  • 4. The apparatus of claim 1, further comprising an exhaust port, wherein at least one of the apertures and the seal is positioned at a radial distance that is less than or equal to the exhaust port, relative to a center of the substrate support.
  • 5. The apparatus of claim 4, further comprising a gap extending radially between the substrate support and the baseplate, wherein the apertures are arranged at a greater radial distance from the center of the substrate support than the gap.
  • 6. The apparatus of claim 5, wherein the seal is formed without a substantial vertical gap between the substrate support and the baseplate.
  • 7. The apparatus of claim 6, wherein the exhaust port is located at a greater radial distance from the center of the substrate support than the plurality of gas inlets.
  • 8. The apparatus of claim 7, wherein the exhaust port comprises an exhaust ring extending around the substrate support.
  • 9. The apparatus of claim 1, wherein the sealing element comprises a flexible diaphragm coating.
  • 10. The apparatus of claim 1, wherein the apertures are configured to allow about 50 sccm to about 200 sccm of flow through the sealing ring when the seal is formed between the baseplate and the substrate support.
  • 11. The apparatus of claim 1, wherein the plurality of apertures are spaced around an outer edge of the sealing element.
  • 12. The apparatus of claim 1, wherein the surface comprises a curved surface, and the total circumference where the curved surface contacts the sealing ring is greater than the total circumference of the apertures.
  • 13. The apparatus of claim 1, wherein the sealing ring is pneumatically actuated.
  • 14. The apparatus of claim 1, wherein the baseplate does not include bellows.
  • 15. A semiconductor processing apparatus comprising: a baseplate comprising a curved surface and forming at least a partial radial boundary of a loading chamber;a moveable substrate support generally separating the loading chamber from a reaction chamber above the loading chamber, the substrate support configured to support a substrate thereon; anda sealing ring configured to couple with the curved surface of the baseplate to form a seal between the loading chamber and the reaction chamber, wherein the sealing element comprises a plurality of apertures configured to provide a flow path between the loading chamber and the reaction chamber.
  • 16. The apparatus of claim 15, further comprising a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate portion.
  • 17. The apparatus of claim 16, wherein each of the plurality of gas inlets is located radially inward of the seal.
  • 18. The apparatus of claim 17, wherein the plurality of gas inlets is further configured to direct gas substantially perpendicular to a substantially planar upper surface of the substrate support.
  • 19. The apparatus of claim 15, wherein the plurality of apertures are spaced around an outer edge of the sealing ring.
  • 20. The apparatus of claim 15, wherein the is seal is disposed at a first radial distance from a center of the substrate support that is at least 30% greater than a second radial distance from the center of the substrate to an outer edge of a recess of the substrate support.
  • 21. The apparatus of claim 15, wherein the sealing ring comprises a flexible diaphragm comprising at least one of an austenitic nickel-chromium-molybdenum-tungsten alloy, a nickel-chromium-cobalt alloy comprising more than 50% nickel and 20% chromium, or Grade 2 titanium.
  • 22. The apparatus of claim 15, further comprising an exhaust port, wherein at least one of the apertures and the seal is positioned at a radial distance that is less than or equal to the exhaust port, relative to a center of the substrate support.
  • 23. The apparatus of claim 22, further comprising a gap extending radially between the substrate support and the baseplate, wherein the apertures are arranged at a greater radial distance from the center of the substrate support than the gap.
  • 24. The apparatus of claim 15, wherein the seal is formed without a substantial vertical gap between the substrate support and the baseplate.
  • 25. The apparatus of claim 15, wherein the apertures are configured to allow about 50 sccm to about 200 sccm of flow through the sealing ring when the seal is formed between the baseplate and the substrate support.
  • 26. The apparatus of claim 15, wherein the substrate support comprises an upper portion and a lower portion, wherein the sealing ring is affixed to an underside of the upper portion of the substrate support.
  • 27. The apparatus of claim 15, wherein the total circumference where the surface contacts the sealing ring is greater than the total circumference of the apertures.
  • 28. The apparatus of claim 15, wherein the sealing ring comprises a first sealing ring, further comprising a second sealing ring stacked with the first sealing ring.
  • 29. The apparatus of claim 15, wherein a first portion of the sealing ring forms a first contact seal with the baseplate, and a second portion of the sealing ring forms a second contact seal with the substrate support.
US Referenced Citations (186)
Number Name Date Kind
4270999 Hassan et al. Jun 1981 A
4533410 Ogura et al. Aug 1985 A
4560420 Lord Dec 1985 A
4589369 Mahler May 1986 A
4761269 Conger et al. Aug 1988 A
4828224 Crabb et al. May 1989 A
4889609 Cannella Dec 1989 A
4978567 Miller Dec 1990 A
4986215 Yamada et al. Jan 1991 A
5024748 Fujimura Jun 1991 A
5077875 Hoke et al. Jan 1992 A
5186718 Tepman et al. Feb 1993 A
5188501 Tomita et al. Feb 1993 A
5198034 DeBoer et al. Mar 1993 A
5242501 McDiarmid Sep 1993 A
5286296 Sato et al. Feb 1994 A
5322079 Fukutomi et al. Jun 1994 A
5370738 Watanabe et al. Dec 1994 A
5403401 Haafkens et al. Apr 1995 A
5427620 DeBoer et al. Jun 1995 A
5435682 Crabb et al. Jul 1995 A
5514439 Sibley May 1996 A
5532190 Goodyear et al. Jul 1996 A
5586585 Bonora et al. Dec 1996 A
5588827 Muka Dec 1996 A
5601686 Kawamura et al. Feb 1997 A
5609689 Kato et al. Mar 1997 A
5611655 Fukasawa et al. Mar 1997 A
5647945 Matsuse et al. Jul 1997 A
5651670 Okase et al. Jul 1997 A
5651868 Canady et al. Jul 1997 A
5683518 Moore et al. Nov 1997 A
5690742 Ogata et al. Nov 1997 A
5730801 Tepman et al. Mar 1998 A
5746875 Maydan et al. May 1998 A
5761023 Lue et al. Jun 1998 A
5784799 Kato et al. Jul 1998 A
5785796 Lee Jul 1998 A
5788778 Shang et al. Aug 1998 A
5800622 Takemi et al. Sep 1998 A
5803977 Tepman et al. Sep 1998 A
5810942 Narayanswami et al. Sep 1998 A
5820692 Baecker et al. Oct 1998 A
5844195 Fairbairn et al. Dec 1998 A
5853214 Babbs et al. Dec 1998 A
5879574 Sivaramakrishnan et al. Mar 1999 A
5887117 Desu et al. Mar 1999 A
5913978 Kato et al. Jun 1999 A
5934856 Asakawa et al. Aug 1999 A
5935490 Archbold et al. Aug 1999 A
5981399 Kawamura et al. Nov 1999 A
5997588 Goodwin et al. Dec 1999 A
6001183 Guray et al. Dec 1999 A
6001267 Os et al. Dec 1999 A
6002108 Yoshioka Dec 1999 A
6042623 Edwards Mar 2000 A
6048154 Wytman Apr 2000 A
6093252 Wengert et al. Jul 2000 A
6106634 Ghanayem et al. Aug 2000 A
6113702 Halpin et al. Sep 2000 A
6113984 MacLeish et al. Sep 2000 A
6156151 Komino et al. Dec 2000 A
6158946 Miyashita Dec 2000 A
6203622 Halpin et al. Mar 2001 B1
6214116 Shin Apr 2001 B1
6224312 Sundar May 2001 B1
6224679 Sasaki et al. May 2001 B1
6245152 Imai et al. Jun 2001 B1
6264467 Lue et al. Jul 2001 B1
6286230 White et al. Sep 2001 B1
6302965 Umotoy et al. Oct 2001 B1
6306216 Kim et al. Oct 2001 B1
6312525 Bright et al. Nov 2001 B1
6394797 Sugaya et al. May 2002 B1
6409837 Hillman Jun 2002 B1
6415736 Hao et al. Jul 2002 B1
6488778 Ballantine et al. Dec 2002 B1
6536136 Saga Mar 2003 B2
6539891 Lee et al. Apr 2003 B1
6562140 Bondestam et al. May 2003 B1
6630201 Chiang et al. Oct 2003 B2
6634882 Goodman Oct 2003 B2
6666921 Sakai et al. Dec 2003 B2
6729875 Goodman May 2004 B2
6820570 Kilpela et al. Nov 2004 B2
6828235 Takano Dec 2004 B2
6840767 Goodman Jan 2005 B2
6875280 Ikeda et al. Apr 2005 B2
6899507 Yamagishi et al. May 2005 B2
6916398 Chen et al. Jul 2005 B2
7017514 Shepherd, Jr. et al. Mar 2006 B1
7021881 Yamagishi et al. Apr 2006 B2
7022613 Pomarede et al. Apr 2006 B2
7138336 Lee et al. Nov 2006 B2
7163587 Kinnard et al. Jan 2007 B2
7175713 Thakur et al. Feb 2007 B2
7228873 Ku et al. Jun 2007 B2
7252716 Kim et al. Aug 2007 B2
7264846 Chang et al. Sep 2007 B2
7267725 Kawano Sep 2007 B2
7276123 Shimizu et al. Oct 2007 B2
7387686 Iwamoto et al. Jun 2008 B2
7422636 Ishizaka Sep 2008 B2
7582181 Babic Sep 2009 B2
7608549 Van Nooten et al. Oct 2009 B2
7648578 Itatani et al. Jan 2010 B1
7682454 Sneh Mar 2010 B2
7669932 Miller Apr 2010 B1
7794546 Li Sep 2010 B2
7845309 Condrashoff et al. Dec 2010 B2
7976898 Hong et al. Jul 2011 B2
7993457 Krotov et al. Aug 2011 B1
8152922 Schmidt et al. Apr 2012 B2
8211230 Verghese et al. Jul 2012 B2
8216380 White et al. Jul 2012 B2
8282735 Choi et al. Oct 2012 B2
8287648 Reed et al. Oct 2012 B2
8759226 Reed et al. Jun 2014 B2
9005539 Halpin et al. Apr 2015 B2
9359672 Verghese et al. Jun 2016 B2
9929029 Tobin Mar 2018 B2
10014193 Lindner Jul 2018 B2
10094019 Toriya et al. Oct 2018 B2
10468291 Verghese et al. Nov 2019 B2
10711347 DuBois et al. Jul 2020 B2
10781516 Chandrasekharan et al. Sep 2020 B2
10872803 White Dec 2020 B2
10872804 White Dec 2020 B2
20010000759 Doley et al. May 2001 A1
20010035132 Kent et al. Nov 2001 A1
20010042514 Mizuno et al. Nov 2001 A1
20020020344 Takano Feb 2002 A1
20030019428 Ku et al. Jan 2003 A1
20030079686 Chen et al. May 2003 A1
20030082301 Chen et al. May 2003 A1
20030082307 Chung et al. May 2003 A1
20030106490 Jallepally et al. Jun 2003 A1
20030108674 Chung et al. Jun 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030124262 Chen et al. Jul 2003 A1
20030129308 Chen et al. Jul 2003 A1
20030150560 Kinnard et al. Aug 2003 A1
20030198741 Uchida et al. Oct 2003 A1
20030203616 Chung et al. Oct 2003 A1
20030230322 Hillman et al. Dec 2003 A1
20040009336 Marcadal et al. Jan 2004 A1
20040009665 Chen et al. Jan 2004 A1
20040048461 Chen et al. Mar 2004 A1
20040069227 Ku et al. Apr 2004 A1
20040077183 Chung Apr 2004 A1
20040099378 Kim et al. May 2004 A1
20040144311 Chen et al. Jul 2004 A1
20040144400 Satoh et al. Jul 2004 A1
20040166697 Wang et al. Aug 2004 A1
20040187304 Chen et al. Sep 2004 A1
20040221807 Verghese et al. Nov 2004 A1
20040221808 Kawano Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20040241321 Ganguli et al. Dec 2004 A1
20040255985 Xia et al. Dec 2004 A1
20040256351 Chung et al. Dec 2004 A1
20040266175 Chen et al. Dec 2004 A1
20050000449 Ishibashi et al. Jan 2005 A1
20050009325 Chung et al. Jan 2005 A1
20050011555 Maula et al. Jan 2005 A1
20050022737 Shimizu Feb 2005 A1
20050054196 Wu et al. Mar 2005 A1
20050268856 Miller et al. Dec 2005 A1
20060065636 Babic Mar 2006 A1
20060105548 Kudo et al. May 2006 A1
20060107970 Verhaverbeke May 2006 A1
20060130761 Sakai Jun 2006 A1
20060180082 Iwamoto et al. Aug 2006 A1
20060249077 Kim et al. Nov 2006 A1
20070116873 Li et al. May 2007 A1
20070212484 Li Sep 2007 A1
20080090415 Maeda et al. Apr 2008 A1
20090136665 Choi et al. May 2009 A1
20100202860 Reed et al. Aug 2010 A1
20130129577 Halpin May 2013 A1
20150004798 Chandrasekharan et al. Jan 2015 A1
20150167159 Halpin Jun 2015 A1
20160233124 Verghese et al. Aug 2016 A1
20190139807 White et al. May 2019 A1
20190139808 White et al. May 2019 A1
20210143048 White May 2021 A1
Foreign Referenced Citations (26)
Number Date Country
1992-100222 Apr 1992 JP
1992-118925 Apr 1992 JP
05-275519 Oct 1993 JP
07018438 Jan 1995 JP
07-142391 Jun 1995 JP
1995-211761 Aug 1995 JP
07-273092 Oct 1995 JP
07-283147 Oct 1995 JP
08148541 Jun 1996 JP
1998-270527 Oct 1998 JP
1999-288992 Oct 1999 JP
11-330064 Nov 1999 JP
2000315720 Nov 2000 JP
2003-059997 Feb 2003 JP
2003-508932 Mar 2003 JP
2004-91848 Mar 2004 JP
2004-538374 Dec 2004 JP
0319494 Jan 2002 KR
2004-63893 Jul 2004 KR
201034107 Sep 2010 TW
201341585 Oct 2013 TW
WO 0104935 Jan 2001 WO
WO 0117692 Mar 2001 WO
WO 03016587 Feb 2003 WO
WO 03030243 Apr 2003 WO
WO 2004025716 Mar 2004 WO
Non-Patent Literature Citations (15)
Entry
English Translation of Notice of Reasons for Rejection dated Aug. 29, 2011 for Japanese Patent Application No. 2007-551472.
English translation of the Office Action in Japanese Patent Application No. 2011-265435, Filed Jan. 17, 2006, dated Dec. 4, 2012.
English translation of the Office Action in Korean Patent Application No. 10-2007-7018502, Filed Jan. 17, 2006, dated Nov. 19, 2012.
English translation of the Office Action in Taiwan Patent Application No. 95101879, filed Jan. 18, 2006, dated Oct. 26, 2012.
European Office Action dated May 4, 2007 in counterpart European Patent Application No. 03 731 267.8.
International Search Report for Application No. PCT/US2006/001640 (the PCT counterpart of the parent application) dated Jun. 19, 2006.
Japanese Office Action dated Mar. 25, 2009 in counterpart Japanese Patent Application No. 2004-508393.
Mason et al., “Influence of gas mixing and expansion in horizontal MOVPE reactors”. Journal of Crystal Growth. vol. 107, No. 1 / 4, Jan. 1991, pp. 181-187.
Office Action in Japanese Patent Application No. 2011-265435, dated Apr. 16, 2013, filed Jan. 17, 2006.
Office Action in Japanese Patent Application No. 2011-265435, filed Jan. 17, 2006, dated Sep. 24, 2013.
Office Action in Korean Patent Application No. 10-2013-7004189, dated Feb. 18, 2014, filed Feb. 19, 2013.
Office Action in Korean Patent Application No. 2013-7004189, filed Feb. 19, 2013, dated Nov. 14, 2013.
Office Action in Korean Patent Application No. 10-2013-7004189, dated Apr. 24, 2013, filed Feb. 19, 2013.
Taiwanese Search Report dated Oct. 28, 2020, TW in counterpart Application No. 107138406.
Taiwanese Office Action dated Oct. 28, 2020, TW in counterpart Application No. 107138406.
Related Publications (1)
Number Date Country
20210143048 A1 May 2021 US
Continuations (1)
Number Date Country
Parent 15803615 Nov 2017 US
Child 17126812 US