Automatic electrostatic chuck bias compensation during plasma processing

Information

  • Patent Grant
  • 11948780
  • Patent Number
    11,948,780
  • Date Filed
    Wednesday, May 12, 2021
    3 years ago
  • Date Issued
    Tuesday, April 2, 2024
    2 months ago
Abstract
Embodiments of the present disclosure relate to a system for pulsed direct-current (DC) biasing and clamping a substrate. In one embodiment, the system includes a plasma chamber having an electrostatic chuck (ESC) for supporting a substrate. An electrode is embedded in the ESC and is electrically coupled to a biasing and clamping network. The biasing and clamping network includes at least a shaped DC pulse voltage source and a clamping network. The clamping network includes a DC source and a diode, and a resistor. The shaped DC pulse voltage source and the clamping network are connected in parallel. The biasing and clamping network automatically maintains a substantially constant clamping voltage, which is a voltage drop across the electrode and the substrate when the substrate is biased with pulsed DC voltage, leading to improved clamping of the substrate.
Description
BACKGROUND
Field

Embodiments of the present disclosure generally relate to a system used in semiconductor manufacturing. More specifically, embodiments of the present disclosure relate to a system for biasing and clamping a substrate during plasma processing.


Description of the Related Art

Ion bombardment is often used as a source of activation energy for chemical and physical processes in plasma etch and plasma enhanced chemical vapor deposition (PECVD) processes for processing a semiconductor substrate. High energy ions accelerated by plasma sheath are also highly directional and can be used for etching high aspect ratio features. Conventionally, a substrate may be biased using radio frequency (RF) power from an RF source. The RF source supplies an RF voltage to a first electrode embedded in an electrostatic chuck (ESC) or cathode. The first electrode is capacitively coupled to the plasma of a processing chamber through a layer of ceramic, which is a part of the ESC. Non-linear, diode-like nature of the plasma sheath results in rectification of the applied RF field, such that a direct-current (DC) voltage drop, or self-bias, appears between the substrate and the plasma. This voltage drop determines the average energy of the ions accelerated towards the substrate.


The ESC secures the substrate disposed thereon by applying a fixed DC voltage to a second electrode embedded in the ESC to establish an electric field between the ESC and the substrate. The electric field induces opposite polarity charges to accumulate on the substrate and the second electrode, respectively. The electrostatic attractive force between the oppositely polarized charges pulls the substrate toward the ESC to secure the substrate. However, the electrostatic force can be affected by the RF bias power supplied to the first electrode in the ESC, leading to under or over clamping of the substrate. In addition, as large bias voltage becomes many kilovolts, the fluctuation of the self-bias voltage with respect to the fixed DC voltage can lead to an increased risk of arcing or sudden de-clamping and breaking of the substrate. This is particularly a problem with very high bias power (kilovolts (kV) range) which is used during pulsed voltage type of substrate biasing techniques.


Therefore, an improved system for biasing and clamping a substrate is needed.


SUMMARY

Embodiments of the disclosure may provide a plasma processing chamber, comprising a substrate support assembly, a waveform generator, a first power delivery line, a clamping network, a signal detection module, and a controller. The substrate support assembly comprises a substrate supporting surface, a first biasing electrode, and a first dielectric layer disposed between the first biasing electrode and the substrate supporting surface. The first power delivery line electrically couples the waveform generator to the first biasing electrode, wherein the first power delivery line comprises a blocking capacitor. The clamping network is coupled to the first power delivery line at a first point between the blocking capacitor and the biasing electrode, the clamping network comprising a direct-current (DC) voltage source coupled between the first point and ground, and a blocking resistor coupled between the first point and an output of the direct-current (DC) voltage source. The signal detection module is configured to receive a first electrical signal from a first signal trace that is coupled to the first power delivery line at a point disposed between the blocking capacitor and the biasing electrode. The controller is configured to communicate with the signal detection module and control a magnitude of a voltage supplied to the first power delivery line at the first point by the direct-current (DC) voltage source due to information received within the received electrical signal.


Embodiments of the disclosure may further provide a plasma processing chamber comprising a substrate support assembly, a waveform generator, a first power delivery line, a clamping network, and a signal detection module. The first power delivery line electrically couples the waveform generator to the first electrode, wherein the first power delivery line comprises a blocking capacitor. The clamping network is coupled to the first power delivery line at a first point between the blocking capacitor and the first electrode, the clamping network comprising a direct-current (DC) voltage source coupled between the first point and ground, and a blocking resistor coupled between the first point and the direct-current (DC) voltage source. The signal detection module is configured to receive a first electrical signal from a first signal trace that is coupled to the first power delivery line at a point disposed between the blocking capacitor and the first electrode.


Embodiments of the disclosure may further provide a method for plasma processing a substrate, comprising: generating a plasma within a processing region of a processing chamber, wherein the processing region comprises a substrate support that comprises a substrate supporting surface, a first biasing electrode, and a first dielectric layer disposed between the first biasing electrode and the substrate supporting surface; delivering, from a waveform generator, a plurality of pulsed-voltage waveforms to the first biasing electrode through a first power delivery line during a first time period, wherein the first power delivery line comprises a blocking capacitor that is disposed between the waveform generator and the biasing electrode; halting the delivery of the plurality of pulsed-voltage waveforms to the first biasing electrode during all of a second time period; applying, from a clamping network, a first clamping voltage to the first biasing electrode; detecting at least one characteristic of one or more of the delivered plurality of pulsed-voltage waveforms during the first time period by receiving an electrical signal from a signal trace that is coupled to the first power delivery line at a first point disposed between the blocking capacitor and the biasing electrode; detecting at least one characteristic of an electrical signal received from the signal trace during the second time period; and adjusting the first clamping voltage applied to the first biasing electrode based on the detected characteristic of the one or more of the delivered plurality of pulsed-voltage waveforms and the at least one characteristic of the electrical signal received from the signal trace during the first and second time periods.


Embodiments of the disclosure may further provide a method for plasma processing a substrate, comprising: generating a plasma within a processing region of a processing chamber, wherein the processing region comprises a substrate support that comprises a substrate supporting surface, a first biasing electrode, and a first dielectric layer disposed between the first biasing electrode and the substrate supporting surface; delivering, from a waveform generator, one or more waveforms to the first biasing electrode through a first power delivery line during a first time period; halting the delivery of the one or more waveforms to the first biasing electrode for a second time period; applying, from a clamping network, a first clamping voltage to the first biasing electrode; detecting at least one characteristic of the one or more waveforms during the first time period by receiving an electrical signal from a signal trace that is coupled to the first power delivery line at a first point disposed on the first power delivery line; detecting at least one characteristic of an electrical signal received from the signal trace during the second time period; and adjusting the first clamping voltage applied to the first biasing electrode based on the detected characteristic of the one or more waveforms received from the signal trace during the first time period; and the detected at least one characteristic of the electrical signal received from the signal trace during the second time period.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.



FIG. 1A is a schematic cross-sectional view of a processing chamber configured to practice methods described herein, according to one embodiment.



FIG. 1B is a close-up schematic cross-sectional view of a portion of the processing chamber illustrated in FIG. 1A, according to one embodiment.



FIG. 1C is a functionally equivalent circuit diagram of a coulombic electrostatic chuck (ESC) that can be used in the process chamber illustrated in FIG. 1A, according to one embodiment.



FIG. 1D is a functionally equivalent circuit diagram of a Johnsen-Rahbek electrostatic chuck (ESC) that can be used in the process chamber illustrated in FIG. 1A, according to one embodiment.



FIG. 1E is a schematic diagram illustrating an example of a processing chamber that includes a feedback loop that is illustrated in FIG. 1A, according to one embodiment.



FIG. 2A is a functionally equivalent circuit diagram of a system that can be used to generate negative pulses in a process chamber, according to one embodiment.



FIG. 2B is a functionally equivalent circuit diagram of a system that can be used to generate positive pulses in a process chamber, according to one embodiment.



FIG. 3A illustrates an example of pulsed voltage (PV) waveforms established at different portions of the functionally equivalent circuit diagram illustrated in FIG. 3B, according to one embodiment.



FIG. 3B is a circuit diagram illustrating a system that can be used to perform one or more methods described herein, according to one embodiment.



FIG. 4A illustrates an example of negative pulsed voltage (PV) waveforms established at the biasing electrode and substrate, according to one embodiment.



FIGS. 4B-4D illustrate examples of a series of pulse voltage (PV) waveform bursts, according to one or more embodiments.



FIG. 5A is a functionally equivalent circuit diagram of a system that can be used to deliver an RF waveform to an electrode within the process chamber, according to one embodiment.



FIG. 5B illustrates an example of RF waveforms established at different portions of the functionally equivalent circuit diagram illustrated in FIG. 5A, according to one embodiment.



FIGS. 6A-6B are process flow diagrams illustrating methods of biasing and clamping a substrate during plasma processing, according to one or more embodiments.





To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.


DETAILED DESCRIPTION

Embodiments of the disclosure provided herein include an apparatus and methods for plasma processing of a substrate in a processing chamber. Aspects of one or more of the embodiments disclosed herein include a system and method of reliably biasing and clamping a substrate during processing to improve the plasma processing results. Embodiments of the disclosure may include an apparatus and method for providing a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to one or more electrodes within the processing chamber, while biasing and clamping a substrate during a plasma process. In some embodiments, a radio frequency (RF) generated RF waveform is provided from an RF generator to one or more electrodes within a processing chamber to establish and maintain a plasma within the processing chamber, while PV waveform(s) delivered from a PV generator are configured to establish a nearly constant sheath voltage across the surface of a substrate. The established nearly constant sheath voltage across the surface of a substrate can create a desirable ion energy distribution function (IEDF) at the surface of the substrate during one or more plasma processing steps performed within the processing chamber. In some embodiments, the PV waveform is established by a PV generator that is electrically coupled to a biasing electrode disposed within a substrate support assembly disposed within a plasma processing chamber.


During some of the plasma processes, ions are purposely accelerated towards the substrate by the voltage drop formed in an electron-repelling sheath that forms over a substrate placed on top of a substrate-support assembly. While not intending to be limiting as to the scope of the disclosure provided herein, the substrate support assembly is often referred to herein as the “cathode assembly” or “cathode”. FIG. 1A is a schematic cross-sectional view of a processing chamber 100, in which a plasma 101 is formed during a plasma process that is being performed on a substrate 103. During one or more of the plasma processing methods disclosed herein, an ion-accelerating cathode sheath is generally formed during plasma processing by use of a pulsed-voltage (PV) generator 150 that is configured to establish a pulsed-voltage waveform at a biasing electrode 104 (FIGS. 1A-1B) disposed within a substrate support assembly 136. In some embodiments, the substrate support assembly 136 (FIG. 1A) includes a substrate support 105 and a support base 107. The substrate support 105 can include an electrostatic chuck (ESC) assembly 105D that is configured to “clamp” or “chuck” (e.g., retain) a substrate 103 on a substrate receiving surface 105A. In some embodiments, the biasing electrode 104 forms part of a chucking electrode that is separated from the substrate by a thin layer of a dielectric material 105B (FIG. 1B) formed within the electrostatic chuck (ESC) assembly 105D and optionally an edge control electrode 115 that is disposed within or below an edge ring 114 that surrounds a substrate 103 when the substrate 103 is disposed on the substrate supporting surface 105A of the substrate support assembly 136.


During plasma processing, a vacuum pressure formed in a processing volume 129 of the processing chamber 100 results in poor thermal conduction between surfaces of components disposed therein, such as between the dielectric material of the substrate support 105 and the substrate 103 disposed on the substrate receiving surface 105A, which reduces the substrate support's effectiveness in heating or cooling the substrate 103. Therefore, there is often a need for a thermally conductive inert heat transfer gas, typically helium, to be introduced and maintained at an increased pressure (e.g., backside pressure) within a volume (not shown) disposed between a non-device side surface of the substrate 103 and the substrate receiving surface 105A of the substrate support 105 to improve the heat transfer therebetween. The heat transfer gas, provided by a heat transfer gas source (not shown), flows to the backside volume through a gas communication path (not shown) disposed through the support base 107 and further disposed through the substrate support 105.


In an effort to enable the higher relative pressure to be formed behind the substrate, a clamping voltage is applied to the biasing electrode 104 to “clamp” or “chuck” the substrate 103 to the substrate receiving surface 105A by use of a biasing and clamping network, which is also referred to herein as simply a clamping network 116. In some embodiments, the clamping network 116 includes a DC voltage source P2 (FIGS. 2A-2B), a blocking resistor R1 (FIGS. 2A-2B) and a diode D1 (FIG. 2A), and in some configurations will also include a resistor R2 (FIGS. 2A-2B) and capacitor C6 (FIGS. 2A-2B). The presence of the diode D1 is used to maintain a constant voltage difference between the waveform established at the biasing electrode 104 and the waveform established at the substrate surface. In some embodiments, the PV generator 150 and the clamping network 116 are connected in parallel. The clamping network 116 automatically adjusts a clamping voltage applied to the biasing electrode 104 to maintain a clamping voltage at a desired clamping voltage level to improve the plasma processing process results achieved on the substrate 103 and to assure that a clamped substrate 103 is not damaged during processing, due to the application of too large of a clamping voltage or applying a clamping voltage that is too small. The application of too large of a clamping voltage can increase the “de-chucking” time (e.g., time it takes for charge formed in the substrate to dissipate to reduce the attraction of the substrate 103 to the substrate receiving surface 105A) and/or cause the substrate to break due to the application of too large of a “clamping” or “chucking force” to the substrate 103 and/or cause the thin dielectric between substrate backside and the clamping electrode 104 to breakdown. The application of a clamping voltage that is too small can cause the substrate 103 to lose close contact with the substrate receiving surface 105A during processing. The backside helium can leak into the plasma chamber and plasma species can also leak into a position at the substrate backside, causing abrupt pressure and gas composition change at substrate backside. Such abrupt change can ignite plasma at substrate backside, damaging the substrate and the electrostatic chuck.


The plasma potential of the plasma 101 formed in the processing region 129 varies due to the application of a pulsed voltage (PV) or RF bias to one or more electrodes disposed within a plasma processing chamber. As is discussed further below, to reliably generate a desired clamping voltage VDCV during a plasma process, the variations in the plasma potential need to be accounted for when controlling a clamping voltage applied to a clamping electrode and substrate 103 during processing. In one example, variations in the plasma potential will occur within each pulse of a multiple pulse PV waveform, and also as the characteristics of PV waveforms delivered by a PV generator 150 change as pulsed voltage biasing parameters applied to a biasing electrode are altered within a substrate-processing-recipe, or from substrate-processing-recipe to substrate-processing-recipe, used to process one or more substrates in the processing chamber. Conventional substrate clamping systems (e.g., electrostatic chucks) that provide a constant clamping voltage and do not take into account and adjust for the fluctuations in the plasma potential often provide poor plasma processing results and/or damage the substrate during processing.


However, the ability to reliably measure or determine in real time the variations in the plasma potential so that they can be accounted for during processing is not a trivial task. The ability to reliably measure the fluctuations or variations in the plasma potential so that the clamping voltage can be desirably adjusted in a production worthy plasma processing chamber that is able to sequentially process multiple substrates in a row is an additional challenge. Conventional methods of measuring the plasma potential and the substrate DC bias requires the use of a probe to directly measure substrate surface potential, are good for non-production laboratory testing, but their presence in the chamber can affect the plasma processing results. The conventional methods of estimating the plasma potential and the substrate DC bias are complicated and require the use of one or more models to correlate the directly measured substrate surface DC bias with the voltage, current and phase data to be measured at an RF match at a few calibration conditions, and use that model to estimate the plasma potential and substrate DC bias when used in production device fabrication processes. The apparatus and methods described herein can be used to reliably determine the plasma potential as a function of time and then provide adjustments to the clamping voltage based on the measured plasma potential.


Plasma Processing Chamber Example


FIG. 1A illustrates the processing chamber 100, in which a complex load 130 (FIGS. 2A-2B) is formed during plasma processing. FIG. 1B is a close-up schematic cross-sectional view of a portion of the substrate support assembly 136 illustrated in FIG. 1A, according to one embodiment. In general, the process chamber 100 is configured to utilize one or more PV generators 150 and/or one or more RF generators 118 to generate, control and maintain a plasma 101 in the processing volume 129 during plasma processing. FIGS. 2A and 2B illustrate different configurations of an electrical circuit, or system, that is configured to deliver a plurality of voltage pulses, provided from the PV generator 150, to the biasing electrode 104 disposed in the plasma processing chamber 100. The PV waveform generator 150 illustrated in FIGS. 2A and 2B is disposed within a first PV source assembly 196 (FIG. 1A) disposed within the processing chamber 100.


The processing chamber 100 is configured to practice one or more of the biasing schemes proposed herein, according to one or more embodiments. In one embodiment, the processing chamber 100 is a plasma processing chamber, such as a reactive ion etch (RIE) plasma chamber. In some other embodiments, the processing chamber 100 is a plasma-enhanced deposition chamber, for example a plasma-enhanced chemical vapor deposition (PECVD) chamber, a plasma enhanced physical vapor deposition (PEPVD) chamber, or a plasma-enhanced atomic layer deposition (PEALD) chamber. In some other embodiments, the processing chamber 100 is a plasma treatment chamber, or a plasma based ion implant chamber, for example a plasma doping (PLAD) chamber. In some embodiments, the plasma source is a capacitively coupled plasma (CCP) source, which includes an electrode (e.g., chamber lid 123) disposed in the processing volume 129 facing the substrate support assembly 136. As illustrated in FIG. 1A, an opposing electrode, such as the chamber lid 123, which is positioned opposite to the substrate support assembly 136, is electrically coupled to ground. However, in other alternate embodiments, the opposing electrode is electrically coupled to an RF generator. In yet other embodiments, the processing chamber 100 may alternately, or additionally, include an inductively coupled plasma (ICP) source electrically coupled to a radio frequency (RF) power supply.


The processing chamber 100 also includes a chamber body 113 that includes the chamber lid 123, one or more sidewalls 122, and a chamber base 124, which define the processing volume 129. The one or more sidewalls 122 and chamber base 124 generally include materials that are sized and shaped to form the structural support for the elements of the processing chamber 100, and are configured to withstand the pressures and added energy applied to them while a plasma 101 is generated within a vacuum environment maintained in the processing volume 129 of the processing chamber 100 during processing. In one example, the one or more sidewalls 122 and chamber base 124 are formed from a metal, such as aluminum, an aluminum alloy, or a stainless steel. A gas inlet 128 disposed through the chamber lid 123 is used to provide one or more processing gases to the processing volume 129 from a processing gas source 119 that is in fluid communication therewith. A substrate 103 is loaded into, and removed from, the processing volume 129 through an opening (not shown) in one of the one or more sidewalls 122, which is sealed with a slit valve (not shown) during plasma processing of the substrate 103. Herein, the substrate 103 is transferred to and from the substrate receiving surface 105A of the substrate support 105 using a lift pin system (not shown).


The processing chamber 100 further includes a system controller 126, which is also referred to herein as a processing chamber controller. The system controller 126 herein includes a central processing unit (CPU) 133, a memory 134, and support circuits 135. The system controller 126 is used to control the process sequence used to process the substrate 103 including the substrate biasing methods described herein. The CPU 133 is a general purpose computer processor configured for use in an industrial setting for controlling processing chamber and sub-processors related thereto. The memory 134 described herein, which is generally non-volatile memory, may include random access memory, read only memory, floppy or hard disk drive, or other suitable forms of digital storage, local or remote. The support circuits 135 are conventionally coupled to the CPU 133 and comprise cache, clock circuits, input/output subsystems, power supplies, and the like, and combinations thereof. Software instructions (program) and data can be coded and stored within the memory 134 for instructing a processor within the CPU 133. A software program (or computer instructions) readable by CPU 133 in the system controller 126 determines which tasks are performable by the components in the processing chamber 100. Preferably, the program, which is readable by CPU 133 in the system controller 126, includes code, which when executed by the processor (CPU 133), perform tasks relating to the monitoring and execution of the electrode biasing scheme described herein. The program will include instructions that are used to control the various hardware and electrical components within the processing chamber 100 to perform the various process tasks and various process sequences used to implement the electrode biasing scheme and method of reliably biasing and clamping a substrate during a plasma process, which are described herein. In one embodiment, the program includes instructions that are used to perform one or more of the operations described below in relation to FIGS. 6A-6B.


In some embodiments, an RF source assembly 163, which includes an RF generator 118 and an RF generator assembly 160, is generally configured to deliver a desired amount of a continuous wave (CW) or pulsed RF power at a desired substantially fixed sinusoidal waveform frequency to the support base 107 based on control signals provided from the controller 126. During processing, the RF source assembly 163 is configured to deliver RF power to the support base 107 disposed proximate to the substrate support 105, and within the substrate support assembly 136. The RF power delivered to the support base 107 is configured to ignite and maintain a processing plasma 101 formed by use of processing gases disposed within the processing volume 129. In some embodiments, the support base 107 is an RF electrode that is electrically coupled to the RF generator 118 via an RF matching circuit 162 and a first filter assembly 161, which are both disposed within the RF generator assembly 160. The first filter assembly 161 includes one or more electrical elements that are configured to substantially prevent a current generated by the output of the PV waveform generator 150 from flowing through an RF power delivery line 167 and damaging the RF generator 118. The first filter assembly 161 acts as a high impedance (e.g., high Z) to the PV signal generated from a PV pulse generator P1 within the PV waveform generator 150, and thus inhibits the flow of current to the RF matching circuit 162 and RF generator 118.


In some embodiments, the plasma generator assembly 160 and RF generator 118 are used to ignite and maintain a processing plasma 101 using the processing gases disposed in the processing volume 129 and fields generated by the RF power provided to the support base 107 by the RF generator 118. The processing volume 129 is fluidly coupled to one or more dedicated vacuum pumps, through a vacuum outlet 120, which maintain the processing volume 129 at sub-atmospheric pressure conditions and evacuate processing and/or other gases, therefrom. The substrate support assembly 136, disposed in the processing volume 129, is disposed on a support shaft 138 that is grounded and extends through the chamber base 124. However, in some embodiments, the RF generator assembly 160 is configured to deliver RF power to the biasing electrode 104 disposed in the substrate support 105 versus the support base 107.


The substrate support assembly 136, as briefly discussed above, generally includes the substrate support 105 (e.g., ESC substrate support) and support base 107. In some embodiments, the substrate support assembly 136 can additionally include an insulator plate 111 and a ground plate 112, as is discussed further below. The support base 107 is electrically isolated from the chamber base 124 by the insulator plate 111, and the ground plate 112 is interposed between the insulator plate 111 and the chamber base 124. The substrate support 105 is thermally coupled to and disposed on the support base 107. In some embodiments, the support base 107 is configured to regulate the temperature of the substrate support 105, and the substrate 103 disposed on the substrate support 105, during substrate processing. In some embodiments, the support base 107 includes one or more cooling channels (not shown) disposed therein that are fluidly coupled to, and in fluid communication with, a coolant source (not shown), such as a refrigerant source or water source having a relatively high electrical resistance. In some embodiments, the substrate support 105 includes a heater (not shown), such as a resistive heating element embedded in the dielectric material thereof. Herein, the support base 107 is formed of a corrosion resistant thermally conductive material, such as a corrosion resistant metal, for example aluminum, an aluminum alloy, or a stainless steel and is coupled to the substrate support with an adhesive or by mechanical means.


Typically, the substrate support 105 is formed of a dielectric material, such as a bulk sintered ceramic material, such as a corrosion resistant metal oxide or metal nitride material, for example aluminum oxide (Al2O3), aluminum nitride (AlN), titanium oxide (TiO), titanium nitride (TiN), yttrium oxide (Y2O3), mixtures thereof, or combinations thereof. In embodiments herein, the substrate support 105 further includes the biasing electrode 104 embedded in the dielectric material thereof. In one configuration, the biasing electrode 104 is a chucking pole used to secure (i.e., chuck) the substrate 103 to the substrate receiving surface 105A of the substrate support 105 and to bias the substrate 103 with respect to the processing plasma 101 using one or more of the pulsed-voltage biasing schemes described herein. Typically, the biasing electrode 104 is formed of one or more electrically conductive parts, such as one or more metal meshes, foils, plates, or combinations thereof.


The biasing electrode 104 within the electrostatic chuck (ESC) is electrically coupled to the biasing and clamping network 116, which are illustrated in FIGS. 1A and 2A-2B. The biasing and clamping network 116 includes the DC voltage source P2. The clamping network 116 automatically maintains a clamping voltage, which is a constant voltage drop across the biasing electrode 104 and the substrate 103 when a plurality PV waveforms are applied to the biasing electrode 104 by the pulsed-voltage waveform generator (PVWG) 150 during plasma processing, leading to improved clamping of the substrate 103. The clamping network 116 is described further below in conjunction with FIGS. 2A-4C. In some embodiments, the clamping network 116 is configured to provide a chucking voltage to the biasing electrode 104, such as static DC voltage between about −10,000 Volts (V) and about 10,000 V, such as −3,000 Volts (V) and about 3,000 V during processing.


Referring to FIG. 1A, the substrate support assembly 136 may further include the edge control electrode 115 that is positioned below the edge ring 114 and surrounds the biasing electrode 104 so that when biased, due to its position relative to the substrate 103, it can affect or alter a portion of the generated plasma 101 that is at or outside of the edge of the substrate 103. The edge control electrode 115 can be biased by use of a pulsed-voltage waveform generator (PVWG) 150 that is different from the pulsed-voltage waveform generator (PVWG) 150 that is used to bias the biasing electrode 104. In some embodiments, the edge control electrode 115 can be biased by use of a pulsed-voltage waveform generator (PVWG) 150 that is also used to bias the biasing electrode 104 by splitting part of the power to the edge control electrode 115. In one configuration, a first PV waveform generator 150 of the first PV source assembly 196 is configured to bias the biasing electrode 104, and a second PV waveform generator 150 of a second PV source assembly 197 is configured to bias the edge control electrode 115. In one embodiment, the edge control electrode 115 is positioned within a region of the substrate support 105, as shown in FIG. 1A. In general, for a processing chamber 100 that is configured to process circular substrates, the edge control electrode 115 is annular in shape, is made from a conductive material and configured to surround at least a portion of the biasing electrode 104, as shown in FIG. 1A. In some embodiments, as illustrated in FIG. 1A, the edge control electrode 115 includes a conductive mesh, foil or plate that is disposed a similar distance (i.e., Z-direction) from the surface 105A of the substrate support 105 as the biasing electrode 104. In some other embodiments, the edge control electrode 115 includes a conductive mesh, foil or plate that is positioned on or within a region of a quartz pipe 110, which surrounds at least a portion of the biasing electrode 104 and/or the substrate support 105. Alternately, in some other embodiments, the edge control electrode 115 is positioned within or is coupled to the edge ring 114, which is disposed adjacent to the substrate support 105. In this configuration, the edge ring 114 is formed from a semiconductor or dielectric material (e.g., AlN, etc.).


Referring to FIG. 1A, the second PV source assembly 197 includes a clamping network 116 so that a bias applied the edge control electrode 115 can be similarly configured to the bias applied to the biasing electrode 104 by the clamping network 116 coupled within the first PV source assembly 196. Applying similarly configured PV waveforms and clamping voltages to the biasing electrode 104 and edge control electrode 115 can help improve the plasma uniformity across the surface of the substrate during processing and thus improve the plasma processing process results. While, for simplicity of discussion, the various methods described herein primarily discuss methods used to determine a desirable clamping voltage VDCV or DC bias voltage (e.g., equation (15) and/or (16)) that is to be applied to the biasing electrode 104, this discussion is not intended to be limiting as to the scope of the disclosure provided herein since one or more of the operations or methods described herein could also be used to determine and control the bias that is to be applied by the clamping network 116 of the second PV source assembly 197 to the edge control electrode 115. In one example, the operations disclosed in relation to FIGS. 6A-6B could be simultaneously applied to the biasing electrode 104 and edge control electrode 115 during plasma processing.


In some embodiments, the processing chamber 100 further includes the quartz pipe 110, or collar, that at least partially circumscribes portions of the substrate support assembly 136 to prevent the substrate support 105, and/or the support base 107, from contact with corrosive processing gases or plasma, cleaning gases or plasma, or byproducts thereof. Typically, the quartz pipe 110, the insulator plate 111, and the ground plate 112 are circumscribed by a liner 108. In some embodiments, a plasma screen 109 is positioned between the cathode liner 108 and the sidewalls 122 to prevent plasma from forming in a volume underneath the plasma screen 109 between the liner 108 and the one or more sidewalls 122.



FIG. 1B is a close-up view of the substrate support assembly 136 that includes a simplified electrical schematic representation of the electrical characteristics of the various structural elements within one or more embodiments of the substrate support assembly 136. The substrate support assembly 136 includes the substrate support 105, the support base 107, the insulator plate 111 and the ground plate 112, which will each be discussed in turn.


Structurally, in an electrostatic chuck (ESC) 191 version of the substrate support 105, the biasing electrode 104 is spaced apart from the substrate receiving surface 105A of the substrate support 105 by the layer of dielectric material 105B. Typically, electrostatic chucks (ESC) 191 can be categorized into two main classes of electrostatic chucks, which are known as a coulombic ESC or a Johnsen-Rahbek ESC. Depending on the type of electrostatic chuck 191, such as the coulombic ESC or the Johnsen-Rahbek ESC, the effective circuit elements used to describe the electrical coupling of the biasing electrode 104 to the plasma 101 will have some differences. FIG. 1C is a functionally equivalent circuit diagram of a coulombic ESC that can be used in the process chamber illustrated in FIG. 1A, according to one embodiment. FIG. 1D is a functionally equivalent circuit diagram of a Johnsen-Rahbek ESC that can be used in the process chamber illustrated in FIG. 1A, according to one embodiment.


In the simplest case, such as the coulombic ESC case, the dielectric layer 105B will include a capacitance C1 as shown in FIGS. 1B-1C, 2A and 3B. Typically, the layer of dielectric material 105B (e.g., aluminum oxide (Al2O3), etc.) has a thickness between about 0.1 mm and about 1 mm, such as between about 0.1 mm and about 0.5 mm, for example about 0.3 mm. In some embodiments, the dielectric material and layer thickness can be selected so that the capacitance C1 of the layer of dielectric material is between about 5 nF and about 100 nF, such as between about 7 and about 20 nF, for example.


In a more complex case, such as the Johnsen-Rahbek ESC case, the circuit model includes a capacitance C1 that is coupled in parallel with a dielectric material resistance RJR and gap capacitance CJR as shown in FIG. 1D. In the case of a “Johnsen-Rahbek ESC”, the ESC dielectric layer is “leaky”, in that it is not a perfect insulator and has some conductivity, since, for example, the dielectric material may be a doped aluminum nitride (AlN) having a permittivity (ε) of about 9. Same as for the Coulombic chuck, there is a direct capacitance C1 between the electrode 104 and the substrate 103 through the thin dielectric 105B and the gap filled with helium. The volume resistivity of the dielectric layer within a Johnsen-Rahbek ESC is less than about 1012 ohms-cm (Ω-cm), or less than about 1010 Ω-cm, or even in a range between 108 Ω-cm and 1012 Ω-cm, and thus the layer of dielectric material 105B can have a dielectric material resistance RJR in a range between 106-1011 Ωs. Since a gap is typically formed between the substrate support surface 105A and a surface of the substrate 103 a gap capacitance CJR is used to account for the gas containing spaces between the substrate 103 and substrate support surface 105A. It is expected that the gap capacitance CJR has a capacitance a bit larger than the capacitance C1.


For ease of discussion, since the substrate 103 is typically made out of a semiconductor material and/or dielectric material with a thin layer of intrinsic dielectric layer on the bottom and top surfaces, the bottom dielectric layer of the substrate 103 can be considered to be electrically a part of the dielectric layer disposed between the biasing electrode 104 and the substrate receiving surface 105A. Thus, in some applications, the effective capacitance CE (not shown) formed between the biasing electrode 104 and the top surface of the substrate 103 can be approximated by the combined series capacitance of the dielectric material 105B and the substrate bottom layer (i.e., substrate capacitance Csub (FIG. 1B)). In the coulombic chuck case, since the substrate capacitance Csub is typically very large (>100 nF), or the substrate may be conductive (infinite capacitance), the series capacitance is dominated by the capacitance C1. In the Johnsen-Rahbek ESC case, assuming the substrate capacitance Csub is typically very large, the effective capacitance for clamping the substrate, CE will be dominated by the gap capacitance CJR for DC clamping voltage (FIG. 1D). The finite resistance of the top dielectric 105B results in a resistance RJR in series with the gap capacitance CJR, the two of which are in parallel with the direct coupling C1 between the electrode 104 and the substrate 103. C1 is the capacitance that couples the RF frequency voltage from the electrode 104 to the substrate 103 during plasma processing.


Referring back to FIG. 1B, the electrical schematic representation of the circuit formed within the substrate support assembly 136 includes a support base dielectric layer capacitance C2, which represents the capacitance of the dielectric layer positioned between the support base 107 and the biasing electrode 104. In some embodiments, the thickness of the portion of the dielectric material 105C disposed between the support base 107 and the biasing electrode 104 is greater than the thickness of the dielectric material 105B disposed between the biasing electrode 104 and the substrate 103. In some embodiments, the dielectric materials used to form the dielectric layers on either side of the biasing electrode are the same material and form the structural body of the substrate support 105. In one example, the thickness of the dielectric material 105C (e.g., Al2O3 or AlN), as measured in a direction extending between the support base 107 and the biasing electrode 104, is greater than 1 mm, such as having a thickness between about 1.5 mm and about 100 mm. The support base dielectric layer capacitance C2 will typically have a capacitance between about 0.5 and about 10 nanofarads (nF).


The electrical schematic representation of the circuit formed within the substrate support assembly 136 also includes a support base resistance RP, an insulator plate capacitance C3, and ground plate resistance RG that is coupled to ground on one end. Since the support base 107 and ground plate 111 are typically formed from a metal material the support base resistance RP and ground plate resistance RG are quite low, such as less than a few milliohms. The insulator plate capacitance C3 represents the capacitance of the dielectric layer positioned between the bottom surface of the support base 107 and the top surface of the ground plate 112. In one example, the insulator plate capacitance C3 has a capacitance between about 0.1 and about 1 nF.


Referring back to FIG. 1A, a PV waveform is provided to the biasing electrode 104 by the PV waveform generator 150 of the first PV source assembly 196 and a PV waveform is provided to the edge control electrode 115 by the PV waveform generator 150 of the second PV source assembly 197. The pulsed voltage waveforms provided to the load (e.g., the complex load 130) disposed within the processing chamber 100. The PV waveform generators 150 include a PV generator P1, such as PV generator P1A in FIG. 2A or PV generator P1B in FIG. 2B, that is coupled to the biasing electrode 104 through a power delivery line 157. While not intending to be limiting as to the scope of the disclosure provided herein, and to simplify the discussion, the components within the RF assembly (e.g., RF generator assembly 160 and RF generator 118) and the second PV source assembly 197 are not schematically shown in FIGS. 2A and 2B. The overall control of the delivery of the PV waveform from each of the PV waveform generators 150 is controlled by use of signals provided from the controller 126. In one embodiment, as illustrated in FIGS. 2A and 2B, a PV waveform generator 150 is configured to output a periodic voltage function at time intervals of a predetermined length by use of a signal from a transistor—transistor logic (TTL) source. The periodic voltage function can be two-states DC pulses between a predetermined negative or positive voltage and zero. In one embodiment, a PV waveform generator 150 is configured to maintain a predetermined, substantially constant negative voltage across its output (i.e., to ground) during regularly recurring time intervals of a predetermined length, by repeatedly closing and opening one or more switches at a predetermined rate. In one example, during a first phase of a pulse interval a first switch is used to connect a high voltage supply to the biasing electrode 104, and during a second phase of the pulse interval a second switch is used to connect the biasing electrode 104 to ground. In another embodiment, as illustrated in FIG. 2B, the PV waveform generator 150 is configured to maintain a predetermined, substantially constant positive voltage across its output (i.e., to ground) during regularly recurring time intervals of a predetermined length, by repeatedly closing and opening its internal switch (not shown) at a predetermined rate. In one configuration of the embodiment shown in FIG. 2B, during a first phase of a pulse interval a first switch is used to connect the biasing electrode 104 to ground, and during a second phase of the pulse interval a second switch is used to connect a high voltage supply to the biasing electrode 104. In an alternate configuration of the embodiment shown in FIG. 2B, during a first phase of a pulse interval a first switch is positioned in an open state, such that the biasing electrode 104 is disconnected from the high voltage supply and the biasing electrode 104 is coupled to ground through an impedance network (e.g., inductor and resistor connected in series). Then, during a second phase of the pulse interval the first switch is positioned in a closed state to connect the high voltage supply to the biasing electrode 104, while the biasing electrode 104 remains coupled to ground through the impedance network.


In FIGS. 2A-2B, the PV waveform generators 150 have been reduced to a minimal combination of the components that are important for understanding of its role in establishing a desired pulsed voltage waveform at the biasing electrode 104. Each PV waveform generator 150 will include a PV generator P1A or P1B and one or more electrical components, such as but not limited to high repetition rate switches (not shown), capacitors (not shown), inductors (not shown), fly back diodes (not shown), power transistors (not shown) and/or resistors (not shown), that are configured to provide a PV waveform to an output. An actual PV waveform generator 150, which can be configured as a nanosecond pulse generator, may include any number of internal components and may be based on a more complex electrical circuit than what is illustrated in FIGS. 2A-2B. The schematic diagrams of FIGS. 2A-2B are each provided to help explain the fundamental principle of operation of the PV waveform generator, its interaction with the plasma in the processing volume, and its role in establishing a pulsed voltage waveform, such as the pulsed voltage waveform 301 in FIG. 3A or pulsed waveform 401 in FIG. 4A, at the biasing electrode 104.


The power delivery line 157 (FIGS. 1A-1B), electrically connects the output of the PV waveform generator 150 to an optional filter assembly 151 and the biasing electrode 104. While the discussion below primarily discusses the power delivery line 157 of the first PV source assembly 196, which is used to couple a PV waveform generator 150 to the biasing electrode 104, the power delivery line 158 of the second PV source assembly 197, which couples a PV waveform generator 150 to the edge control electrode 115, will include the same or similar components. In FIGS. 2A and 2B, the output of the PV waveform generator 150 is provided to a node N3. The electrical conductor(s) within the various parts of the power delivery line 157 may include: (a) a coaxial transmission line (e.g., coaxial line 106), which may include a flexible coaxial cable that is connected in series with a rigid coaxial transmission line, (b) an insulated high-voltage corona-resistant hookup wire, (ε) a bare wire, (d) a metal rod, (e) an electrical connector, or (f) any combination of electrical elements in (a)-(e). The power delivery line 157, such as the portion of power delivery line 157 within the support shaft 138 (FIG. 1A) and the biasing electrode 104, will have some combined stray capacitance Cstray (not shown) to ground. The optional filter assembly 151 includes one or more electrical elements that are configured to substantially prevent a current generated by the output of the RF generator 118 from flowing through the power delivery line 157 and damaging the PV waveform generator 150. The optional filter assembly 151 acts as a high impedance (e.g., high Z) to RF signal generated by the RF generator 118, and thus inhibits the flow of current to the PV waveform generator 150.


In some embodiments, as shown in FIGS. 1A and 2A-2B, the PV waveform generator 150 of the first PV source assembly 196 is configured to provide a pulsed voltage waveform signal to the biasing electrode 104, and eventually the complex load 130, by delivering the generated pulsed voltage waveforms through node N3 and the blocking capacitor C5, the filter assembly 151, high-voltage line inductance Li, and capacitance C1. The PV waveform generator 150 is connected between a ground node NG and node N3. The capacitor C5 is further connected between the node N3 and a node N1 at which the clamping network 116 is attached. The clamping network 116 is connected between the node N1 and a ground node NG. In one embodiment, as shown in FIG. 2A, the clamping network 116 includes at least a diode D1, a capacitor C6, a DC voltage source P2, a current-limiting resistor R2, and a blocking resistor R1. In this configuration, the diode D1 and the blocking resistor R1 are connected between the node N1 and a node N2, and the capacitor C6 and the DC voltage source P2, which is in series with the current-limiting resistor R2, are connected between the node N2 and a ground node NG. In another embodiment, as shown in FIG. 2B, the clamping network 116 includes a capacitor C6, a DC voltage source P2, a resistor R2, and a blocking resistor R1. In this configuration, the blocking resistor R1 is connected between the node N1 and a node N2, and the capacitor C6 and the DC voltage source P2, which is in series with the current-limiting resistor R2, are connected between the node N2 and a ground node NG. In general, the DC voltage source P2 is used to establish an output voltage of the clamping network 116, which is a voltage difference across the capacitor C6.


The clamping network 116, when used in combination, as shown in FIGS. 2A and 2B, forms a current suppressing/filtering circuit for the PV waveforms from the PV generator, so that PV waveforms do not induce a significant current through the clamping network 116 to ground. The effect of the clamping network 116 on the operation of the PV generator P1A(FIG. 2A) or the PV generator P1B(FIG. 2B) can be made negligible by selecting an appropriately large blocking capacitor C5 and blocking resistor R1. The blocking resistor R1 schematically illustrates a resistor positioned within the components connecting the clamping network 116 to a point within the power delivery line 157, such as node N1. The main function of the blocking capacitor C5 is to protect the PV pulse generator P1A from the DC voltage produced by the DC voltage source P2, which thus drops across blocking capacitor C5 and does not perturb the PV waveform generator's output. The value of blocking capacitor C5 is selected such that while blocking only the DC voltage generated by the DC voltage source P2, it creates a negligible impedance to the pulsed bias generator's pulsed voltage output provided to node N3 so that most of the pulsed voltage is delivered to the complex load 130. By selecting a sufficiently large blocking capacitor C5 capacitance (e.g., 10-80 nF), the blocking capacitor C5 is nearly transparent for a 400 kHz PV waveform signal, which is generated by the PV waveform generator 150 for example, in that it is much bigger than any other relevant capacitance in the system and the voltage drop across this element is very small compared to that across other relevant capacitors, such as sheath capacitance CSH and CWALL (FIGS. 2A-2B) coupled in parallel to diode D2 and D3, respectively.


Referring to FIGS. 2A-2B, the purpose of the blocking resistor R1 in the clamping network 116 is to block the generated pulsed voltage by the PV waveform generator 150 enough to minimize the current it induces in the DC voltage supply P2. This blocking resistor R1 is sized to be large enough to efficiently minimize the current (i1) through it. For example, a resistance of ≤200 kOhm, such as greater than 1 MOhm, or greater than 10 MOhms, or even in a range between 200 kOhms and 50 MOhms is used to make a current generated from the delivery of 400 kHz pulsed voltage signal to node N1 by the PV waveform generator 150 into the clamping network 116 negligible. The average induced DC current is desirably less than about 40 mA, such as less than 30 mA, or less than 20 mA, or less than 10 mA, or less than 5 mA, or even between 1 μA-20 mA.


In some configurations, the blocking resistor R1 provides a charging/discharging path that is useful to reset the clamping voltage formed across capacitor C1, when the diode D1 is not in the forward bias mode. For example, at the beginning of a plasma process, the substrate is clamped to the electrostatic chuck surface 105A by charging the capacitor C1 to a predetermined voltage. Such charging current supplied to the capacitor C1 can be provided by the clamping network 116 through the resistor R1 (FIGS. 2A and 2B). Similarly, the discharging current from capacitor C1 in a dechucking step of the substrate can flow through R1. The charging or discharging current of the capacitor C1 determines the speed to reach a steady state of either clamping (e.g., chucking) or dechucking of the substrate. Therefore, in some embodiments, the blocking resistor R1 is selected so that its resistance is not too large, such as less than about 50 MOhms.


In one embodiment of the processing chamber 100, as illustrated in FIG. 5A, an RF waveform is provided to the support base 107, which is positioned at node N5, by an RF source assembly 163. In some embodiments, the RF source assembly 163 can be a multi-frequency RF source. In this configuration, the RF source assembly 163 is coupled to an electrode, such as the support base 107, via an effective capacitance C8 that is part of a RF match 162 and a first filter assembly 161, and the clamping network 116 is coupled to the biasing electrode 104 through the power delivery line 157. The RF waveform is provided to the load (e.g., the complex load 130) disposed within the processing chamber 100. The RF source assembly 163 in FIG. 5A, is capacitively coupled to the load 130 via the delivery of RF power to the support base 107. While not intending to be limiting as to the scope of the disclosure provided herein, and to simplify the discussion, one or more PV source assemblies, which are optional in this example, are not schematically shown in FIG. 5A. The overall control of the delivery of the RF waveform is controlled by use of signals provided from the controller 126. As illustrated in FIG. 5B, a sinusoidal RF waveform provided to the processing region 129 from the RF source assembly 163 is provided during a burst period 510, and is halted during a burst-off period 514. In FIG. 5A, the RF source assembly 163 has been reduced to a minimal combination of the components that are important for understanding of its role in establishing a desired RF waveform to the support base 107. As discussed above, the RF source assembly 163 can include components within an RF matching circuit 162 and a first filter assembly 161.


Process Monitoring and Control Examples

In some embodiments, as illustrated in FIG. 1A, the processing chamber 100 further includes a signal detection module 188 that is electrically coupled to one or more electrical components found within a processing chamber 100 by use of a plurality of signal lines 187, which are illustrated in FIG. 1E. FIG. 1E illustrates a schematic view of the processing chamber 100 that includes multiple signal traces 192 that are coupled to various electrical components within the processing chamber 100, and are configured to deliver electrical signals to signal detection elements found within the signal detection module 188. In general, the signal detection module 188 includes one or more input channels 172 and a fast data acquisition module 120. The one or more input channels 172 are each configured to receive electrical signals from a signal trace 192, and are electrically coupled to the fast data acquisition module 120. The received electrical signals can include one or more characteristics of waveforms generated by the PV waveform generator 150 and/or the RF generator 118. In some embodiments, the fast data acquisition module 120 is configured to generate a control signal that is used to automatically control and maintain a substantially constant clamping voltage during processing, leading to improved clamping of the substrate during plasma processing. Further, the fast data acquisition module 120 includes one or more acquisition channels 122. The controller 126 is configured to generate a control signal that is used to automatically control and maintain the clamping voltage based on the signal information provided to the signal detection module 188 through the one or more signal lines 187, processed by components to the fast data acquisition module 120 and then received by the controller 126. The signal information received by the controller 126 can then be analyzed so that a desired real-time adjustment of the voltage applied by the DC voltage supply P2 of the clamping network 116 can be provided based on the analyzed characteristics of the received signal information.



FIG. 1E schematically illustrates an example of the signal detection module 188 that includes multiple input channels 172 that are each electrically coupled to a corresponding acquisition channel 122 of the fast data acquisition module 120. The multiple input channels 172, such as input channels 1721-1723 are coupled to connection points that are positioned in various parts of the first PV source assembly 196, to measure and collect electrical data from these connection points during processing. Additionally, multiple input channels 172, such as input channels 1724-172N, are coupled to connection points that are positioned in various parts of the RF source assembly 163 (FIG. 1A), to measure and collect electrical data from one or more points or nodes within the RF source assembly 163 during processing. In one example, input channels 1724-172N are configured to detect an RF waveform 181 that is established at different points within the RF source assembly 163 during plasma processing. The multiple input channels 172 may also be coupled to various electrical sensing elements, such as a current sensor, which are configured to measure and collect electrical data at various points within the processing chamber 100. While FIG. 1E schematically illustrates a configuration in which only a few input channels 172 are coupled to points within the first PV source assembly 196 and RF source assembly 163, this configuration is not intended to be limiting as to the scope of the disclosure provided herein since the number of input channels 172 can be increased or decreased as required to control desired chamber processing applications. In some embodiments, one or more input channels 172 are connected to different portions of the first PV source assembly 196, second PV source assembly 197 and RF source assembly 163.


One or more of the input channels 172 can include a conditioning circuit 171, such as, for example, a conditioning circuit 1711 in input channel 1721 and a conditioning circuit 1712 in input channel 1722. Further, the one or more input channels 172 are configured to generate output waveforms that are conditioned. In some embodiments, the conditioning circuits 171 may each include a voltage divider, a low pass filters, both a voltage divider and a low pass filters, or even in some cases neither a voltage divider nor a low pass filter which is referred to herein as an unattenuated conditioning circuit. Examples of various conditioning circuit elements, such as voltage dividers and filters, and their integration with the input channels are further described in the U.S. Pat. No. 10,916,408, which is herein incorporated by reference in its entirety.



FIG. 1E illustrates a configuration in which the signal detection module 188 includes a plurality of input channels, such as the input channels 1721-172N, where N is generally a number greater than one. Each of the input channels 1721-172N may be connected to different points within the plasma processing chamber 100. For example, the input channel 1721 may be connected to an electrical conductor that is used to couple the PV waveform generator 150 to a blocking capacitor C5 (FIG. 1E). In embodiments where the input channel 1721 is coupled between the output of the PV waveform generator 150 and the blocking capacitor C5, the input channel 1721 receives an input pulsed voltage waveform (e.g., first input pulsed voltage waveform 182 (FIG. 1E)) and the conditioning circuit 1711 generates an output waveform (e.g., a conditioned waveform). In one example, a received or measured input pulsed voltage waveform includes voltage pulses that include positive and negative voltage levels within different phases of each of the voltage pulses and high frequency oscillations within various phases of a pulse within the input pulsed voltage waveform, which when conditioned by the components, such as a voltage divider, within the conditioning circuit 1711 forms an output waveform that is at least provided at a lower voltage level due to the use of a voltage divider. In one example, an input pulsed voltage waveform, which includes voltage pulses that include positive and negative voltage levels within different phases of each of the voltage pulses and high frequency oscillations within at least one of the phases of each pulse within the input pulsed voltage waveform, is received by the input channel 1721 and is then conditioned by the components, such as a voltage divider and a low pass filter, within the conditioning circuit 1711 to form an output waveform that is a filtered waveform that is at a reduced voltage level. In embodiments where the input channel 1722 is coupled between the blocking capacitor C5 and the biasing electrode 104, the input channel 1722 receives an input pulsed voltage waveform (e.g., second input pulsed voltage waveform) and the conditioning circuit 1712 generates an output waveform (e.g., a conditioned waveform). In general, the first input pulsed voltage waveform received the input channel 1721, will have different waveform characteristics from the second input pulsed voltage waveform received the input channel 1722, due to the position of their respective connection points along the power delivery line 157 within the plasma processing chamber 100.


The fast data acquisition module 120 is generally configured to receive analog voltage waveforms (e.g., conditioned waveforms) and transmit digitized voltage waveforms. The fast data acquisition module 120 includes one or more acquisition channels 122 that are each electrically coupled to a respective conditioning circuit 171 of a first input channel 172, and the fast data acquisition module 120 is configured to generate a digitized voltage waveform from a received conditioned voltage waveform (e.g., output waveform), wherein a data acquisition controller 123 of the fast data acquisition module 120 is configured to determine one or more waveform characteristics of the conditioned voltage waveform by analyzing the first digitized voltage waveform.


As illustrated in FIG. 1E, the fast data acquisition module 120 comprises a plurality of acquisition channels 1221-122N, the data acquisition controller 123 and memory 124 (e.g., non-volatile memory). Each of the acquisition channels 122 is electrically coupled to the output of a corresponding one of the input channels 172 such that an acquisition channel 122 receives an output waveform from the corresponding one of the input channels 172. For example, the acquisition channel 1221 is electrically coupled to the output end of the input channel 1721 and receives either a first output waveform, depending on the position of the connection point of input end of the input channel 1721. Further, the acquisition channel 1222 is electrically coupled to the output end of the input channel 1722 and receives a second output waveform. Additionally, or alternatively, the acquisition channel 1223 is electrically coupled to the output end of the input channel 1723 and receives a third output waveform. The acquisition channel 122N is electrically coupled to the output end of the input channel 172N and receives the Nth output waveform.


The data acquisition controller 123 is electrically coupled to an output of each of the acquisition channels 122 and is configured to receive the digitized voltage waveform from each of the acquisition channels 122. Further, the algorithms stored within the memory 124 of the data acquisition controller 123 are adapted to determine one or more waveform characteristics of each of the conditioned waveforms by analyzing each of the digitized voltage waveforms. The analysis may include a comparison of information received in the digitized voltage waveform with information relating to one or more stored waveform characteristics that is stored in memory 124 and is discussed further below.


The data acquisition controller 123 can include one or more of an analog-to-digital converter (ADC) (not shown), a processor 121 (FIG. 1E), communication interface (not shown), a clock (not shown) and an optional driver (not shown). The processor may be any general computing processor. Further, the processor may be a Field Programmable Gate Array (FPGA). The ADC converts the signal within the output waveforms from the analog domain to the digital domain and the output digital signal of the ADC is provided to the processor 121 for processing. The processor 121 of the data acquisition controller 123 determines the one or more waveform characteristics of the output waveform by analyzing the output digital signal provided from the ADC.


In various embodiments, the fast data acquisition module 120 additionally includes memory 124. The memory 124 may be any non-volatile memory. Further, the data acquisition controller 123 is electrically coupled with the memory 124 and is configured to cause waveform characteristics to be stored within the memory 124. In various embodiments, the memory 124 includes instructions executable by the data acquisition controller 123 to cause the data acquisition controller 123 to analyze the received output waveforms and/or transmit information corresponding to determined waveform characteristics based on the analysis of the received output waveforms. A waveform analyzer stored in memory 124 includes instructions executable by the data acquisition controller 123 and when executed cause the data acquisition controller 123 to analyze the output waveforms to determine the waveform characteristics. Information relating to the analyzed waveform characteristics can then be transmitted to one or more of a feedback processor 125 and/or the controller 126. The analysis performed by the data acquisition controller 123 can include a comparison of the waveform characteristics and one or more waveform characteristic threshold values stored in memory.


In some embodiments, the fast data acquisition module 120 is coupled to the feedback processor 125 via a data communication interface 125A, wherein the feedback processor 125 is configured to generate one or more control parameters using one or more waveform characteristics determined by one or more algorithms that are executed by the processor disposed within the data acquisition controller 123. In general, the feedback processor 125 may be any general computing processor. In some embodiments, the feedback processor 125 is generally one of: an external processor connected to the fast data acquisition module 120 via a data communication interface; an internal processor integrated within the fast data acquisition module 120; or is a portion of a substrate processing chamber controller (e.g., controller 126) connected to the fast data acquisition module via a data communication interface. The data acquisition module 120 may communicate information corresponding to one or more of the received output waveforms to the feedback processor 125. For example, the data acquisition module 120 may communicate information related to one or more detected and/or processed waveform characteristics of the one or more of the received output waveforms to the feedback processor 125. Further, the feedback processor 125 may be communicatively coupled with the plasma processing system 100 via a communication link 350 (FIG. 3B).


In various embodiments, the feedback processor 125 includes memory that further contains a software algorithm for instructing a processor within the feedback processor 125 to perform one or more portions of the methods described herein. The one or more algorithms include instructions, which when executed by the processor 121 in the fast data acquisition module cause the fast data acquisition module to process the one or more output waveforms (e.g., conditioned voltage waveforms) to determine one or more waveform characteristics of the received output waveforms. The controller 126, or feedback processor 125 disposed within the controller 126, includes memory that includes instructions, which when executed by a processor (CPU) causes the controller 126 or the feedback processor 125 to generate one or more control parameters using the determined one or more waveform characteristics provided from the fast data acquisition module 120. The instructions executed by the controller 126 or feedback processor 125 may also be further configured to cause the transmission of information, along the communication link 350 (FIG. 3B), that relates to the generated one or more control parameters to the clamping network 116. The clamping network 116 and/or controller 126 may also include memory that includes instructions, which when executed by a processor in the clamping network 116 and/or controller 126 causes the clamping network 116 to establish a desired chucking voltage level at the biasing electrode 104 based on the one or more control parameters generated by the feedback processor 125.


In one or more embodiments, the fast data acquisition module 120 may be electrically (wired or wirelessly) coupled with the controller 126 of the processing chamber 100. For example, the fast data acquisition module 120 transmits data to and/or receives data from the controller 126. For example, the fast data acquisition module 120 communicates information related to one or more waveform characteristics to the controller 126. Further, the processing chamber controller 126 may be communicatively coupled with the clamping network 116 of the processing chamber 100 via the communication link 350. In various embodiments, the processing chamber controller 126 is omitted. An algorithm stored within the memory of the processing chamber controller 126 can include instructions, which when executed by the controller CPU cause various process chamber set points to be adjusted, such as a chucking voltage set point on a chucking power supply, based on the information related to one or more waveform characteristics determined by the data acquisition controller 123.


Clamping Module Control Methods and Hardware Examples

As discussed above, the ability to provide real time control to the clamping voltage level applied to the clamping electrode (e.g., biasing electrode 104) during plasma processing is useful to improve and achieve repeatable plasma processing results and to assure that clamped substrates are not damaged during processing. FIG. 3A illustrates a burst 316 of pulsed voltage waveforms that includes a plurality of waveforms generated and delivered from one or more sources to one or more electrodes disposed in the process chamber 100. For example, waveforms 301-304 are each established at different points within the system 300 (FIG. 3B) by the delivery of a pulsed voltage waveform (not shown) that is generated by the PV waveform generator 150. In some embodiments, a series of individual bursts 316, which are each separated by a burst-off period 314, are provided to the biasing electrode 104. A burst cycle 317, which includes a burst 316 of pulsed voltage waveforms and a serially performed burst-off period 314, can be repeated multiple times during the processing of a substrate, as discussed further below in relation to FIGS. 3A and 4B-4D.


The system 300 is a simplified schematic which is generally represents a portion of the process chamber 100 that includes, for example, the PV waveform generator 150 of the first PV source assembly 196 (FIG. 1A) to the biasing electrode 104 disposed within the substrate support assembly 136. The components within system 300 are used to detect and determine waveform characteristics of one or more PV waveforms delivered from a PV waveform generator 150 by detecting the characteristics of electrical signals detected at different points within the system 300 at different times. Signal lines 321-325 are similar to the plurality of signal lines 187 illustrated in FIG. 1E, and thus are intended to illustrate the connections between various points within the processing system and the input channels 172 (not shown in FIG. 3B) of the signal detection module 188.


As illustrated in FIG. 3A, a plurality of measured PV waveforms 301-304 include a series of pulses that are provided during a PV waveform burst 316. In this example, the last three of a series of pulses are shown within the burst 316. Each of the three pulses within each of the PV waveforms 301-304 have a waveform period Tp. After the delivery of a burst 316 of pulses, which has a burst-on period 310, the output of the PV waveform generator 150 is stopped so that the system 300 experiences a period of time when no PV waveforms are being generated by the PV waveform generator 150. The time when no PV waveforms are being formed is referred to herein as a non-burst period 314, or “burst off” period 314. Between the burst 316 and a steady state portion of the non-burst period 314 is a transition region, which is referred to herein as a plasma relaxation period 312. At the end of the non-burst period 314, a second burst (not shown) that includes a plurality of pulses is generated and delivered from the PV waveform generator 150. During processing of a substrate it is typical for each burst 316, within a series of bursts, to be separated by the burst-off periods 314, such that a burst 316 and a burst-off period 314 (i.e., burst cycles 317) are serially formed multiple times. Thus, a single burst cycle 317, which includes a burst 316 and a burst-off period 314, has a length that is equal to the sum of a burst-on period 310 (i.e., TON) plus the burst-off period 314 (i.e., TOFF), which is also referred to herein as the burst period TBD (FIG. 4B). In one example, the burst-on period 310 is between about 100 microseconds (μs) and about 10 milliseconds (ms), such as between about 200 μs and about 5 ms. In one example, the waveform period Tp is between about 1 μs and about 10 μs, such as about 2.5 μs. The burst duty cycle can be between about 5%-100%, such as between about 50% and about 95%, wherein the duty cycle is the ratio of the burst-on period 310 divided by the burst-on period 310 plus the non-burst period 314.


The PV waveform 301 is measured at a point between the blocking capacitor C5 and the biasing electrode 104, such as illustrated in FIG. 3B as node N1. The measured voltage is thus related to actual voltage measured at the biasing electrode 104 during the different phases of a processing sequence performed in a processing chamber. The measured voltage of this PV waveform, which is also referred to herein as being electrode voltage VE, varies over time as a series of bursts 316 and non-burst periods 314 are provided from a PV waveform generator 150 to the biasing electrode 104 during processing. In one embodiment, the PV waveform 301 is measured by an electrical coupling assembly (not shown) that is positioned at node N1. The electrical coupling assembly is coupled to signal trace 324 that is in communication with an input channel 172 within the signal detection module 188.


In some embodiments, a PV waveform (not shown) generated at the output of the PV waveform generator 150 is measured and utilized in one or more of the processes described herein by measuring the voltage formed at an electrical coupling assembly (not shown) that is positioned at node N3. The PV waveform measured at the PV waveform generator 150 will closely track the PV waveform 301, and will have a measured voltage that is offset from the PV waveform 301 by an amount that is at least related to the set point of DC voltage supply P2. In this configuration, as shown in FIG. 3B, the electrical coupling assembly is coupled to signal trace 321 that is in communication with an input channel 172 within the signal detection module 188.


The PV waveform 302 is intended to represent the voltage established on a substrate 103 during processing due to the delivery of the PV waveforms provided from the PV waveform generator 150. As shown in FIG. 3A, the PV waveform 302 tracks the measured PV waveform 301 very closely, such that the PV waveform 302 is typically considered to be offset a fixed amount from the PV waveform 301. The offset voltage formed during processing between the electrode 104 and substrate 103 is referred to herein as clamping voltage, and is primarily set by the set point of DC voltage supply P2. In some configurations, PV waveform 302 can be measured by a voltage probe in good contact with the frontside or backside of the substrate 103 and attached to signal trace 322 that is in communication with an input channel 172 within the signal detection module 188. In most process chamber hardware configurations the substrate voltage is not easy to directly measure due to ESC hardware limitations, measurement signal integrity issues and capacitive coupling between chamber component related issues. By use of the methods described herein the need for the direct measurement of the substrate voltage can be avoided by use of the various measurement techniques and processes described herein.


In some embodiment, the PV waveform 303 is measured at a node directly coupled to a second conductor plate positioned within the processing chamber 100. In one embodiment, the second conductor plate is the support base 107, which is positioned at node N5 in FIG. 3B. As shown in FIG. 3B, the second conductor plate is positioned between the capacitance C3 and the capacitance C2, which are intended to represent the capacitances formed by the presence of the insulator plate 111 and the dielectric layer 105C, respectively. The measured voltage is thus related to actual voltage measured at the support base 107 during the different phases of a processing sequence performed in a processing chamber. The measured voltage of the PV waveform, which is referred to herein as being voltage VC, varies over time as a series of bursts 316 and non-burst periods 314 are provided from a PV waveform generator 150 to the biasing electrode 104 during the processing. In some embodiments, the PV waveform 303 is formed by use of a source, such as the RF source assembly 163 which is coupled to the chamber through the conductor plate 107. The PV waveform 303 can be measured by use of an electrical coupling assembly, which is positioned at node N5, and is coupled to signal trace 323 that is in communication with an input channel 172 within the signal detection module 188.


In some embodiments, the PV waveform 304 is measured at a node directly coupled to a PV source 150. The measured voltage of the PV waveform 304, which is referred to herein as being voltage VR, varies over time as a series of bursts 316 and non-burst periods 314 are provided from a PV waveform generator 150. In some embodiments, the PV waveform 304 is configured to achieve a desired voltage V4 during the non-burst periods 314, and thus does not electrically float during the non-burst periods 314. In some embodiments, the PV waveform 304 is configured to electrically float during the non-burst periods 314. The PV waveform 304 can be measured by use of an electrical coupling assembly that is coupled to the signal trace 321 that is coupled to node N3 and is in communication with an input channel 172 within the signal detection module 188.



FIG. 4A illustrates an example of a PV waveform 401 to the biasing electrode 104 by use of a PV waveform generator 150 within a PV source assembly during a portion of the waveform period Tp and a DC voltage source P2 in the assembly 116. The PV waveform 402, as shown in FIG. 4A, includes a series of PV waveforms established at the substrate (e.g., VW) due to the PV waveform 401 being established at the biasing electrode 104 by a PV waveform generator 150 and a DC voltage source P2. The PV waveforms 401 and 402 are intended to illustrate more detailed examples of portions of the PV waveforms 301 and 302 that are illustrated in FIG. 3A.


The output of the PV waveform generator 150, which can be controlled by a setting in a plasma processing recipe stored in the memory of the controller 126, forms the PV waveform 401 that includes a peak-to-peak voltage, which is also referred to herein as the pulse voltage level Vpp. The PV waveform 402, which is the waveform seen by the substrate 103 due to the delivery of the PV waveform 401, is characterized as including a sheath collapse and recharging phase 450 (or for simplicity of discussion the sheath collapse phase 450) that extends between point 420 and point 421, a sheath formation phase 451 that extends between point 421 and point 422, and an ion current phase 452 that extends between point 422 and back to the start at point 420 of the next sequentially established pulse voltage waveform; Depending on the desired plasma processing conditions, it is desirable to control and set at least the PV waveform characteristics, such as PV waveform frequency (1/TP), pulse voltage level Vpp, pulse voltage on-time, and/or other parameters of the PV waveforms within a burst 316 to achieve desirable plasma processing results on a substrate. In one example, pulse voltage (PV) on-time, which is defined as the ratio of the ion current time period (e.g., time between point 422 and the subsequent point 420 in FIG. 4A) to the waveform period Tp, is varied from one plasma processing recipe to another to adjust the etch rate. In some embodiments, the PV on-time is greater than 50%, or greater than 70%, such as between 80% and 95%.



FIG. 4B illustrates PV waveforms in which a series of bursts 462 of pulsed voltage waveforms are established at the biasing electrode 104 and the substrate surface. In the example illustrated in FIG. 4B, a plurality of pulses 461 within each burst 462 include a series of PV waveforms 401 that are established at the biasing electrode 104. In this example, each of the bursts 462 includes pulses 461 that have a PV waveform that has a consistent pulsed voltage shape (e.g., constant voltage magnitude is provided during a portion of each PV waveform 401), a burst delivery length TON that does not vary from one burst 462 to another over time and a burst rest length TOFF that does not have a varying length over time. The burst rest length TOFF is formed by halting the delivery of the PV waveforms provided during the burst delivery length TON time for a period of time. The duty cycle of the bursts 462, which is the ratio of the length of time the plurality of pulses are delivered during the burst (i.e., burst delivery length TON) divided by the duration of a burst period (i.e., TBD=TON+TOFF), is also constant in this example. For clarity of discussion, the total of the burst on period 310 and the burst off period 314, referenced in FIG. 3A, is intended to be equivalent to the burst period TBD referenced in FIG. 4B. One will appreciate that in other processing methods, the plurality of pulses 461 could include negative pulse waveforms, shaped pulse waveforms or positive pulse waveforms, or combinations thereof. As illustrated in FIG. 4B, during the burst rest length TOFF the biasing electrode potential curve 436 is primarily controlled by the chucking voltage that is applied and controlled by the bias compensation module 116, and thus may be at a different voltage level than the plasma potential.



FIG. 4C illustrates a PV waveform in which a multilevel series of bursts 490 of pulsed voltage waveforms are established at an electrode, such as the biasing electrode 104. During processing the series of bursts 490, which include a plurality of bursts 491 and 492 and a burst off period 493, are provided to the biasing electrode 104. The series of bursts 490, which include a series of bursts 491 and 492 that are followed by a burst off period 493, can be sequentially repeated one or more times. In one example, each of the plurality of bursts 491 and 492 include a plurality of PV waveforms 401 that are supplied at different voltage levels as illustrated by the difference in the levels of each of the peaks of the each of the bursts 491 and 492. In some embodiments, the transition from burst 492 to a burst 491 is separated by the burst off period 493, while the transition from burst 491 to burst 492 is not separated by the burst off period 493. The series of bursts 490 are often referred to as being a “high-low” series of bursts due to the pulse waveforms provided to the biasing electrode 104 during the burst 491 having a higher pulse voltage level Vpp (FIG. 4A) than the pulse waveforms provided to the biasing electrode 104 during the burst 492. The bursts 491 often referred to herein as including a “high” pulse voltage level Vpp and busts 492 often referred to herein as including a “low” pulse voltage level Vpp.



FIG. 4D illustrates a PV waveform in which a multilevel series of bursts 494 of pulsed voltage waveforms are established at an electrode, such as the biasing electrode 104. The series of bursts 494, which also includes the plurality of bursts 491 and 492 and the burst off period 493 that are oriented in a different “low-high” configuration, can be sequentially repeated one or more times. In one example, each of the plurality of bursts 491 and 492 include a plurality of PV waveforms 401 that are supplied at different voltage levels as illustrated by the difference in the levels of each of the peaks of the each of the bursts 491 and 492. In some embodiments, the transition from burst 492 to a burst 491 is not separated by the burst off period 493, while the transition from burst 491 to the next burst 492 is separated by the burst off period 493.



FIG. 5B illustrates a burst 516 of RF waveforms that includes a plurality of waveforms generated and delivered from an RF source assembly 163 to an electrode disposed in the process chamber 100. For example, waveforms 502-504 are each established at different points within the system 500 (FIG. 5A) by the delivery of an RF waveform 501 that is generated by the RF generator 118. Waveforms 502-504 include the waveform VW formed at the substrate, waveform VS formed at the surface of the electrostatic chuck, and the waveform VE formed at the biasing electrode 104, respectively. FIG. 5A illustrates an example of a configuration of a system 500 that is used to detect and determine waveform characteristics of one or more RF waveforms delivered from a RF generator 118 by detecting the characteristics of electrical signals detected at different points within the system 500 at different times. The signal traces 322-325 are similar to the plurality of signal traces 192 of the plurality of signal lines 187 illustrated in FIG. 1E, and thus are intended to illustrate the connections between various points within the processing system and the input channels 172 (not shown in FIG. 3B) of the signal detection module 188.


As illustrated in FIG. 5B, a plurality of measured RF waveforms 501-504 include a series of pulses that are provided during an RF burst 516. In this example, two cycles of the RF waveform are shown within the burst 516. The measured RF waveforms, such as RF waveforms 501-504, have a waveform frequency that is controlled by the RF generator 118, which can be between 100 kHz and 120 MHz. After the delivery of the RF burst 516, which has a burst period 510, the output of the RF generator 118 is stopped so that the system 500 experiences a period of time when no RF waveforms are being generated by the RF generator 118. The time when no RF waveforms are being formed is referred to herein as a non-burst period 514, or “burst off” period 514. Between the burst 516 and a steady state portion of the non-burst period 514 is a transition region, which is referred to herein as a plasma relaxation period 512. At the end of the non-burst period 514, a second burst (not shown) that includes a plurality of RF waveforms is generated and delivered from the RF generator 118. During processing of a substrate it is typical for each burst 516, within a series of bursts, to be separated by the non-burst periods 514, such that the series of bursts 516 and non-burst periods 514 are serially formed multiple times. In one example, the burst period 510 is between about 20 microseconds (μs) and about 100 milliseconds (ms), such as between about 200 μs and about 5 ms. The burst duty cycle can be between about 5%-100%, such as between about 50% and about 95%, wherein the duty cycle is the ratio of the burst period 510 divided by the burst period 510 plus the non-burst period 514.


Plasma Potential Analysis

To reliably generate a desired clamping voltage VDCV during a plasma process, the variations in the plasma potential need to be accounted for when delivering a clamping voltage to a clamping electrode during processing. As discussed above, the ability to reliably measure and monitor the plasma potential in a processing chamber that is configured to serially process multiple substrates in a production environment is a non-trivial task. In one or more of the embodiments of the disclosure provided herein, the plasma potential is determined based on measurements made at different points within the plasma processing system during different portions of a substrate processing sequence. FIG. 6A illustrates a processing method that can be used to measure, monitor and control attributes of a plasma formed in a processing chamber so that the desirable clamping voltage can be reliably controlled and applied to a clamping electrode disposed within a substrate support. It is assumed that the capacitance C1 is a net series capacitance of the dielectric layer 105B, and the gap between dielectric surface 105A and substrate backside, and a possible thin dielectric layer on substrate backside surface.


A plasma potential curve 433, which is shown in FIG. 4A, illustrates the local plasma potential during the delivery of the PV waveform 401 that is established at the biasing electrode 104 by use of a PV waveform generator 150. During processing the plasma potential generally remains at or close to zero volts throughout most of the burst-on period 310 and during the burst-off period 314. The plasma potential will achieve its peak value (VPL) during the sheath collapse phase 450, which coincides with time T1 in FIGS. 3A and 4A. Additionally, at time T1, when the multiphase PV waveform 401 has reached its peak value, the voltage at the biased electrode (e.g., biasing electrode 104) will be equal to the output voltage (VBCM) supplied by the DC voltage source P2. Therefore, the fluctuation in the plasma potential can be on the order of 1 kV or larger and thus substrate clamping systems that do not take into account the fluctuations in the plasma potential due to the delivery of a bias to one or more electrodes within the processing chamber 100 can lead to poor plasma processing results and/or damage to the substrate. Referring to FIG. 4A, the times T2 and T3 illustrate the start of the burst-off period and the end of the transition period 312, respectively. The period of time between times T2 and T3 is referred to herein as the plasma relaxation time, which is generally the time it takes the plasma to extinguish once the PV waveforms and RF power delivery has been halted during the burst-off period 314. The time T4 is intended to represent a measurement time that is positioned after the transition period 312 has ended and before the next burst 316 (not shown) has started.


In an effort to provide the desired clamping voltage (VDCV) at node N1, the set point of the DC voltage source P2, VBCM at node N2, is adjusted by use of computer implemented instructions that are configured to determine and thus account for the variation in the plasma potential. The desired clamping voltage (VDCV) set point is generally equal to the peak plasma potential (VPL), which is affected by the plasma processing conditions and the substrate surface material, plus the clamp voltage set point (VClamp) for the type of electrostatic chuck that is being used during processing. The desired clamping voltage set point (VDCV) can thus be written as shown in equation (1).

VDCV=VPLVClamp  (1)


The clamp voltage set point (VClamp) is a constant voltage value that has been determined through prior testing and evaluation of the electrostatic chucking characteristics of the actual electrostatic chuck or type of electrostatic chuck (e.g., columbic electrostatic chuck). The prior testing and evaluation results are used to determine a minimum substrate clamping force voltage to assure that the substrate will have a good thermal contact to the dielectric surface 105A and negligible helium will leak through the outer sealing band of the substrate support 105 when the substrate is clamped to the surface of the substrate support 105 during plasma processing. The clamp voltage set point (VClamp) value will vary due to the type of electrostatic chuck that is being used (e.g., columbic or Johnsen-Rahbek electrostatic chuck), backside gas pressure being used during processing, and temperature of the dielectric 105A during plasma processing.


In some embodiments of the clamping network 116, a diode D1 electrically connects nodes N1 and N2 (See FIGS. 2A and 3B), and is configured to only allow current to flow in a direction from node N1 to N2 (i.e., anode side of the diode D1 is coupled to node N1 and cathode side of diode D1 is coupled to node N2). Due to the configuration of the diode D1, the voltage at node N1 is restricted to a value that is no higher than the voltage at node N2 (VBCM) at all times. Thus, during each pulse period Tp (FIG. 3A) of a PV waveform, the peak voltage at node N1 is reset to the voltage of node N2 (VBCM), which is the output voltage of the DC voltage source P2 at steady state when a large capacitance C6 (e.g., between 0.5 and 10 μF) is used. The peak voltage at node N1 is the sum of peak plasma potential VPL and the actual clamp voltage across capacitor C1. To achieve the clamp voltage set point, the set point of DC voltage source P2 (VBCM) should be equal to the desired clamping voltage set point (VDCV), as shown in below in a rewritten version of equation (1).

VBCM=VDCV=VPL−VClamp


However, in some embodiments of the clamping network 116, no diode D1 is used to connect nodes N1 and N2 (see FIGS. 2B and 5A). In this configuration, the voltage of node N2 (VBCM) will still be equal to the voltage of the DC voltage source P2 at steady state given large C6 (e.g. between 0.5 and 10 μF). In some embodiments, the resistor R1 and capacitor C6 values in the clamping network 116 are selected so that the time constant of R1*C6 is much larger than the burst period TBD (FIG. 4B), so that the voltage at node N2 is substantially constant within one burst period TBD. Since nodes N1 and N2 are connected through a high resistance value resistor, resistor R1, the time-averaged (in a burst period TBD) voltage at node N1 will equal the time-averaged (in a burst period TBD) voltage at node N2, which is equal to the clamping voltage VBCM. To achieve the clamp voltage set point VClamp across capacitor C1, the time-averaged (in a burst period TBD) voltage at node N1 should be the time-averaged substrate (in a burst period TBD) voltage plus the clamp voltage set point VClamp. As is discussed further below, the time-averaged substrate voltage can be approximated by use of the PV waveform generated by the PV waveform generator 150 and the peak plasma potential VPL. Thus, the set point of DC voltage source P2 (DC voltage source output voltage VBCM) can be determined by the pulser voltage waveform, the peak plasma potential VPL, and the clamp voltage set point VClamp.


As illustrated in FIG. 4A, the plasma potential VPlasma (i.e., curve 433) is equal to or near zero for most of the time during processing and reaches a peak level at time T1. Therefore, to determine the peak plasma potential (VPL) at the surface of the substrate, which is formed at time T1, measurements that account for all of the various factors that will affect the plasma potential are measured and used to adjust the output voltage (VBCM) of the DC voltage source P2 to achieve the desired clamping voltage (VDCV). To determine the peak plasma potential (VPL) it is first assumed that, and the system is configured such that, charge conservation is maintained at one or more nodes within an electrode biasing circuit at a time scale of a burst period TBD. In some embodiments, as shown in FIGS. 2A-2B, 3B and 5A, charge conservation is maintained at node N1 of the electrode biasing circuit. In one embodiment, capacitors C1, C2, and C5 are directly coupled to the node N1, and it is assumed that the inductor Li (e.g., line inductance illustrated in FIGS. 2A-2B) is small enough to induce negligible voltage oscillation compared to the PV voltages generated by the PV waveform generator 150. As illustrated in FIGS. 2A-2B, 3B and 5A, node N1 is also coupled to the resistor R1 and then to the capacitor C6. Thus, the total charge (QT) flowing through resistor R1 at the time scale of a burst period TBD is on the order of QT≈TBD*VBCM/R1. In some embodiments, the resistance of the resistor R1 is selected such that it is large enough, so that the charge flowing through resistor at the time scale of a burst period TBD, is negligible compared to the charges stored in capacitors C1, C2, and C5, for example. Therefore, in this configuration, the presence of a large blocking resistor R1 will cause the capacitor C6 to functionally appear to not be directly coupled to node N1, and the electrostatic charge associated to node N1 will be the sum of electrostatic charge stored in the capacitors C1, C2, and C5, which are directly coupled to the node N1.


Equation (2) below is used to describe charge conservation at a node in the electrode biasing circuit, meaning the sum of electrostatic charge QBurst measured during a portion of the burst-on period 310 is equal to the amount of stored charge QOff measured during the burst-off period 314 right after burst-on period 310.

ΣQBurst=ΣQOff  (2)



FIGS. 2A-2B and 3A, provide system configuration examples in which the charges stored within the capacitances C1, C2, and C5 can be assumed to be conserved, and thus allow the peak plasma potential VPL to be determined by use of one or more of the methods described herein. The electrical signals that are detected within the one or more methods described herein can include one or more characteristics of waveforms generated by the PV waveform generator 150 and/or the RF generator 118. The detected one or more waveform characteristics can include but are not limited to voltage at one or more times within a pulse, slope at one or more times within a pulse, a pulse period, and pulse repetition frequency. However, the assumption that charge is conserved in a region surrounding a node, such as node N1 in FIGS. 2A-2B and 3B, is limited by or depends on the amount of stored charge lost due to a magnitude of the current that flows to ground, such as the current (FIG. 3B) that flows through the blocking resistor R1 to ground. As is further discussed below, the ability to accurately determine the peak plasma potential VPL is dependent on the blocking resistor's ability to assure that the amount of charge lost is negligible prior to the signal detection module 188 measuring the generated electrical signals during one or more of the phases of a burst sequence, which includes at least one burst-on period 310 and burst-off period 314. As noted above, it is desirable that the resistance of the resistor R1 be >100 kOhm, for example.


Example 1

In one example, based on the system 300 configuration illustrated in FIGS. 3A-3B, for node N1, equation (2) can be rewritten as shown in equation (3).

C1V1)Burst+C2V2)Burst+C5V5)Burst=C1V1)Off+C2V2)Off+C5V5)Off  (3)

In equation (3), C1, C2, and C5 are the capacitances that are known, and ΔV1, ΔV2, and ΔV5 are the voltages of the capacitor plates directly coupled to node N1 minus the voltages of the opposing capacitor plates for the capacitances C1, C2, and C5, which are measured during either the burst-on period 310 or burst-off period 314. Therefore, if the measurement made during the burst-on period 310 is made at one of the time T1 instants in time, and the measurement made during the burst-off period 314 is made at time T4, equation (3) can be rewritten as equation (4).

C1(V1-VPL)+C2(V1−V5)+C5(V1−V3)=C1(V2-0)+C2(V2-V6)+C5(V2-V4)  (4)

In equation (4), the voltage V1 is the voltage of the electrode 104 at time T1 during the burst-on period 310, the peak plasma potential VPL is the plasma potential at time T1 during the burst-on period 310, the voltage V5 is the voltage measured at node N5 at time T1 during the burst-on period 310, the voltage V3 is the voltage measured at node N3 at time T1 during the burst-on period 310, the voltage V2 is the voltage measured at node N1 during the burst-off period 314, the voltage V6 is the voltage measured at node N5 during the burst-off period 314, and the voltage V4 is the voltage measured at node N3 during the burst-off period 314. As noted above, the plasma potential is effectively zero during the burst-off period and thus the charge stored in the capacitor C1 during the burst-off period 314 is effectively equal to the voltage V2 times the capacitance C1. The actual clamping voltage during burst off period is V2. Therefore, after reorganizing equation (4), which is shown in equation (5), the peak plasma potential VPL can be found by solving the equation (5) for the system configuration illustrated in FIG. 3B.










V
PL

=



(


V
1

-

V
2


)



(

1
+



C

2

+

C

5



C

1



)


+



C

2


C

1




(


V
6

-

V
5


)


+



C

5


C

1




(


V
4

-

V
3


)







(
5
)








For simplicity of discussion, each of the capacitance terms that are multiplied by the voltage difference terms in equation (5), and any of the equations provided below, are generally referred to herein as a “combined circuit capacitance” that has a combined circuit capacitance value, which is determined by the arithmetic combination of the capacitances (e.g., capacitances C1, C2, and C5 in equation (5)) based on the configuration of the various connected circuit elements (e.g., electrostatic chuck 191, RF generator 118, and PV waveform generator 150) relative to a desired node (e.g., node N1).


However, in configurations where the biasing element (e.g., PV source 150) connected at node N3 floats during the burst-off period, or is disconnected from ground during the burst-off period, the capacitor C5 which is directly coupled to node N3 will not have current through it during the burst-on to burst-off transition. In other words, the charge stored in capacitor C5 is the same during the burst-on to burst-off transition, so its effect can be removed from the charge conservation equations (2), (3) and (4). The equation used to find the voltage VPL can be simplified to equation (6).










V
PL

=



(


V
1

-

V
2


)



(

1
+


C

2


C

1



)


+



C

2


C

1




(


V
6

-

V
5


)







(
6
)







In some embodiments, there is negligible current flowing through the RF source assembly 163 to the capacitor C2 coupled at node N5 during the burst-on to burst-off transition, so that the majority of current flowing through C2 also flows through C3. Thus, the series of C2 and C3 can be treated as one capacitor of value (C2C3)/(C2+C3) and grounded. Thus, in equation (6), V5=V6=0 and C2 is replaced by (C2C3)/(C2+C3).










V
PL

=


(


V
1

-

V
2


)



(

1
+


C

2

C

3


C

1


(


C

2

+

C

3


)




)






(
7
)







Therefore, since the capacitance C1 is typically much larger that the capacitances C2 and C3 in most systems, equation (7) can be reduced to the simple equation, in this example, of a floating biasing element, shown in equation (8).

VPL≈V1−V2  (8)


In any case, using either equations (5), (6), (7) or (8), the knowledge of the capacitance values of C1, C2, C3, and/or C5, and the measured voltages detected during the burst-on period 310 and burst-off period 314 by use of the signal detection module 188, the peak plasma potential VPL can be calculated so that the desired clamping voltage VDCV can be determined.


Example 2

In another example, the biasing element (e.g., PV source 150) connected at node N3 is controlled at a constant voltage V4 (such as zero) during the burst-off period. In some embodiments, there is negligible current flowing through the RF source assembly 163 to the capacitor C2 coupled at node N5 during the burst-on to burst-off transition, so that the majority of current flowing through C2 also flows through C3. Then the voltage VPL can be found by solving equation (9) for the system configuration illustrated in FIG. 3B.










V
PL

=



(


V
1

-

V
2


)



(

1
+



C

2

C

3

+

C

2

C

5

+

C

3

C

5



C

1


(


C

2

+

C

3


)




)


+



C

5


C

1




(


V
4

-

V
3


)







(
9
)







In this case, using equation (9), the knowledge of the capacitance values of C1, C2, C3, and/or C5, and the measured voltages during the burst-on period 310 and burst-off period 314 by use of the signal detection module 188, the peak plasma potential VPL can be calculated so that the desired clamping voltage VDCV can be determined.


Example 3

In another example, based on the system 500 configuration illustrated in FIG. 5A, equation (2) can be rewritten as shown in equation (10). In this example, as schematically shown in FIG. 5A, the RF source assembly 163 is connected to node N5 and is utilized to generate substrate bias voltage during plasma processing. In this example, a PV waveform generator 150 is not connected to the system 500. Equation (2) can thus be rewritten as shown in equation (10).

C1V1)Burst+C2V2)Burst=C1V1)Off+C2V2)Off  (10)


Therefore, voltage VPL can be found by use of equation (11).










V
PL

=



(


V
1

-

V
2


)



(

1
+


C

2


C

1



)


+



C

2


C

1




(


V
6

-

V
5


)







(
11
)







In this case, using equation (11), the knowledge of the capacitance values of C1 and C2, and the measured voltages during the burst period 510 and burst-off period 514 by use of the signal detection module 188, the peak plasma potential VPL can be calculated so that the desired clamping voltage VDCV can be determined.


Plasma Processing Method Examples


FIG. 6A is a process flow diagram of a method 600 for determining a desired clamping voltage based on the application of a process recipe that is used during plasma processing of a substrate in a processing chamber. In addition to FIG. 6A, the method 600 is described in reference to FIGS. 1A-5B. In one embodiment, the method 600 can be performed by executing, by the CPU 133, computer implemented instructions that are stored within the memory 134 of the controller 126. In one embodiment, the method 600 at least includes a clamping voltage determination process 605, which includes operations 606-614.


At operation 602, a processing recipe is initiated in a processing chamber 100, which causes a plasma 101 to form in the processing region 129 of the processing chamber 100. In some embodiments, during this operation, the RF source assembly 163 delivers enough RF power at an RF frequency to an electrode within the processing chamber to form the plasma 101. In one example, the RF source assembly 163 delivers RF power at an RF frequency of between 400 kHz and 100 MHz, such as 40 MHz to the support base 107 disposed within the substrate support assembly 136. The RF power delivered to the support base 107 is configured to ignite and maintain a processing plasma 101 formed by use of processing gases disposed within the processing volume 129.


At operation 604, the controller 126 sends a command signal to the DC voltage source P2 to initiate and establish a first clamping voltage at the biasing electrode 104. The magnitude of the first clamping voltage is set to the clamping voltage in the recipe which is stored in the memory of the controller 126. The recipe set point is generally set to a level that through initial testing or by general knowledge has a magnitude that is low enough to not cause breakdown of top dielectric layer within the substrate support, but has a magnitude high enough to achieve good thermal contact with the substrate receiving surface 105A in order to seal substrate backside gas (e.g. helium) sufficiently.


At operation 606, in one embodiment, the PV waveform generator 150 begins to generate a series of PV waveforms that establishes a PV waveform at the biasing electrode 104. During operation 606, the PV generator 150 can be configured to generate and provide bursts 316 of PV waveforms to the biasing electrode 104 within the processing chamber 100. In an alternate embodiment, the RF source assembly 163 begins to generate bursts of RF waveforms, as discussed in relation to FIG. 5B, at an electrode (e.g., support base 107) within the processing chamber 100.


In some embodiments, during operation 606, it is desirable for the pulse voltage level (e.g., Vpp) applied to the electrode, such as the biasing electrode 104, to be controlled at a desired ramp rate that is not greater than the rate to charge or discharge the capacitors C5 and C6 through resistors R1 and R2 respectively, (FIG. 3B), so that the actual clamp voltage across capacitor C1 remains constant while VDCV and VPS are ramped together with the pulse voltage V. If such ramp rate relation is satisfied, according to equation (1), the actual clamp voltage across capacitor C1 will be kept close to the clamp voltage set point VClamp during the pulse voltage ramping. The charge or discharge rate of capacitor C5 through resistor R1 is determined by the RC time constant

τ1=R1(C5)  (12).

The charge or discharge rate of capacitor C6 through resistor R2 is determined by the RC time constant

τ2=R2(C6)  (13).

Therefore, the ramp time for the pulse voltage level Vpp change should be larger than the RC time constants τ1 and τ2. In some embodiments, the ramp time for the pulse voltage level Vpp is set to be at least three times of the larger of the RC time constants τ1 and τ2.


At operation 608, while ramping pulse voltage level (e.g., Vpp) applied to the biasing electrode 104, the signal detection module 188 is used to monitor the waveforms established within different portions of the processing chamber 100 during the execution of the plasma processing recipe. In one example, the signal detection module 188 is configured to monitor the waveforms established at the biasing electrode 104 and the support base 107 over time, while the pulsed voltage level is ramped. In one example, the waveforms established at the biasing electrode 104 and the support base 107 can be detected by measuring waveform signals established at nodes N1 and N5 within the system 300 or 500 illustrated in FIG. 3B or 5A, respectively. In general, during operation 608 the signal detection module 188 is used to continuously monitor or repetitively sample the waveform signals established at the various nodes within a system over time, such as detecting the waveform signals at one or more of the times T1-T5 illustrated in FIG. 3A, 4A or 5B.


At operation 610, the information collected during operation 608 is used to calculate the plasma potential during the plasma process by use of at least one equation that is derived from equation (2), such as equations (5), (6), (7), (8), (9) or (11). The desired equation that is to be used to determine the peak plasma potential VPL is based on a knowledge of the system configuration that is being used during plasma processing and/or a setting found in the software instructions stored within memory. Typically, when the pulse voltage level, RF power, or other plasma relevant parameters (e.g. pressure, gas composition, etc.) are changing during plasma processing, one or more of the relevant equations, which are incorporated within the instructions stored in the memory of the controller 126, can be used during the execution of the stored instructions by the CPU 133 to determine the peak plasma potential VPL at any time during processing.


At operation 612, the desired clamping voltage VDCV that is to be used during a subsequent portion of the current plasma process is determined by use of equation (1) and the results of operation 610. As discussed above, the clamp voltage set point (VClamp) found in equation (1) is the clamp voltage set point in the recipe, typically a predetermined value that is stored within the memory of the controller 126.


At operation 614, a command signal is then sent by the controller 126, or the feedback processor 125, to the DC voltage source P2 so that a desired clamping voltage VDCV can be applied to the biasing electrode 104 by setting the DC voltage source P2 voltage properly, as discussed above. In some embodiments, operations 606-614 of the clamping voltage determination process 605 are repeated during the pulse voltage ramping phase at least one more time, or until a desired pulse voltage level (e.g., Vpp) is achieved during a burst-on period 310 during plasma processing. In some other embodiments, only operations 608-614 of the clamping voltage determination process 605 are repeated one or more times during plasma processing. In one example, operations 608-614 are repeated one or more times once the desired pulse voltage level (e.g., Vpp) has been achieved during a burst-on period 310.


After a steady state value for the pulse voltage level (e.g., Vpp) has been achieved after performing operation 608-614 one or more times, operation 616 is performed in which the set point of the DC voltage source P2, or DC voltage source output voltage VBCM, is stored in memory. In some embodiments, it is desirable to store intermediate set point of DC voltage source output voltage VBCM values (e.g., non-final values determined during the pulse voltage ramping phase) in memory so that they can be used as a baseline in a future plasma processing sequence. The set point of DC voltage source output voltage VBCM that is stored in memory can be used in future plasma processes performed on additional substrates that are processed using the same or similar plasma processing recipe. As briefly discussed above, plasma processing recipes generally include one or more processing steps that are adapted to control one or more plasma processing parameters performed on a substrate disposed within a processing chamber. The one or more plasma processing parameters can include PV waveform characteristics (e.g., duty cycle, pulse voltage level Vpp, burst period, burst off period, pulse voltage on-time, etc.), chamber pressure, substrate temperature, gas flow rates, gas composition, and other useful parameters. For example, the PV waveform generator 150 is set to provide pulses having a pulse voltage level (e.g., Vpp) from 0.01 kV to 10 kV and the DC voltage source output voltage VBCM of the clamping network 116 is set to a constant DC voltage between −3 kV to +3 kV, such as +2.5 kV.


Referring to FIG. 4C, in some embodiments, the generated series of PV waveforms formed during operation 606 include establishing a series of PV waveforms within a burst 490. The “low” pulse voltage level Vpp found in the PV waveforms formed during burst 492 have a magnitude that is significantly less than the “high” pulse voltage level Vpp found in burst 491. The “high” pulse voltage level Vpp found in burst 491 will have the greatest effect on the desired clamping voltage VDCV set point, due to larger peak-to-peak pulse voltage. Therefore, in some embodiments, since the system is configured such that charge conservation is maintained within a region of an electrode biasing circuit that is coupled to an electrode, the peak plasma potential VPS achieved during the “high” pulse voltage level Vpp can be used to determine the set point of DC voltage source output voltage VBCM even though the “low” pulse voltage level Vpp containing burst 492 is positioned between the “high” pulse voltage level Vpp containing burst 491 and the burst off period 493. In one example, one of the equations (5), (6), (7), (8), (9) or (11) can be used to determine the plasma potential during the plasma processing.


Referring to FIG. 4D, in some embodiments, the generated series of PV waveforms formed during operation 606 include establishing a series of PV waveforms within a burst 494. In some embodiments, since the system is configured such that charge conservation is maintained within a region of an electrode biasing circuit, the peak plasma potential VPS achieved during the “high” pulse voltage level Vpp can be determined and used to determine the set point of DC voltage source output voltage VBCM even though the “low” pulse voltage level Vpp containing burst 492 is positioned between the “high” pulse voltage level Vpp containing burst 491 and the burst off period 493. Therefore, equations (5), (6), (7), (8), (9) or (11) can be used to determine the plasma potential during the plasma processing.



FIG. 6B is a process flow diagram of a method 650 that is used to deliver a desired clamping voltage VDCV based on the determination of the set point of DC voltage source output voltage VBCM in a prior plasma processing sequence, such as after performing method 600 at least once. The method 650 can be performed by executing, by the CPU 133, computer implemented instructions that are stored with the memory 134 of the controller 126.


At operation 652, a processing recipe is initiated in a processing chamber by forming a plasma 101 in the processing region 129 of a processing chamber. Operation 652 can be performed in a manner similar to methods described above in relation to operation 602.


At operation 654, the controller 126 sends a command signal to the DC voltage source P2 to initiate and establish a first clamping voltage at the biasing electrode 104. The magnitude of the first clamping voltage is set based on a set point in the processing recipe or stored in the memory of the controller 126. In one embodiment, the stored set point is based on a DC voltage source output voltage VBCM value used during a prior performed process, such as a result from the performance of one of the operations found in method 600.


At operation 656, in one embodiment, the PV waveform generator 150 begins to generate a series of PV waveforms that establish a PV waveform at the biasing electrode 104. In an alternate embodiment, the RF source assembly 163 begins to generate an RF waveform that establishes a RF waveform at an electrode, such as the support base 107, within the processing chamber 100. As discussed above in relation to operation 606, the pulse voltage level (e.g., Vpp) applied to the electrode is ramped within a time period that is larger (e.g. twice or three times larger) than the RC time constants to charge or discharge C5 through R1 and C6 through R2. Typically, operation 656 is performed in a manner that is similar to methods described above in relation to operation 606.


At operation 659, at the same time of operation 656, a command signal is sent by the controller 126, or the feedback processor 125, to the DC voltage source P2 to reach a set point of the DC voltage source output voltage VBCM so that a desired clamping voltage VDCV is applied to and maintained at the biasing electrode 104 by the DC voltage source P2 during at least a portion of the processing steps performed on the substrate. The method 650 can additionally be performed on all of the substrates that subsequently processed in the processing chamber. However, if one or more plasma processing recipe parameters are altered in any subsequent plasma processes it may be desirable to perform method 600 and then method 650 on all of the subsequent processes performed using these altered plasma processing recipe parameters.


In some embodiments, steps 608-614 in method 600 can be used repeatedly within a processing step to adjust for plasma property and peak plasma potential VPL drift which results in different DC voltage source output voltage VBCM of the DC voltage source P2 in order to maintain the clamp voltage set point VClamp.


DC Bias Analysis Example

In some embodiments, the amount of DC bias (VDC Bias) applied to a substrate during processing is calculated and then used to adjust one or more of the processing parameters during one or more portions of a plasma processing recipe. The DC bias at any time during plasma processing, in which a symmetric waveform (e.g., sinusoidal waveform (RF waveform) or sigmoidal waveform) is delivered, can be calculated by use of equation (14).

VDC Bias=(VPL−Vpp)/2  (14)


During one or more of the operations described herein, the signal detection module 188 and controller 126 are used to detect and monitor the waveform signals established at the various nodes within a system over time, so that one or more computer implemented instructions can be used to determine the DC bias and/or peak DC bias.


Aspects of one or more of the embodiments disclosed herein include a system and method of reliably biasing and clamping a substrate during processing to improve the plasma processing results performed on a plurality of substrates.


While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A plasma processing chamber, comprising: a substrate support assembly, comprising: a substrate supporting surface;a first biasing electrode;a first dielectric layer disposed between the first biasing electrode and the substrate supporting surface;a waveform generator configured to generate a plurality of pulsed voltage waveforms during a first time period and halt generation of the plurality of pulsed voltage waveforms during a second time period;a first power delivery line that electrically couples the waveform generator to the first biasing electrode, wherein the first power delivery line comprises a blocking capacitor;a clamping network coupled to the first power delivery line at a first point between the blocking capacitor and the first biasing electrode, the clamping network comprising: a direct-current (DC) voltage source coupled between the first point and ground; anda blocking resistor coupled between the first point and an output of the DC voltage source; anda controller configured to:receive, during the first time period and the second time period, information within a first electrical signal obtained via a first signal trace coupled to the first point, wherein the information within the first electrical signal obtained during the first time period comprises a portion of a waveform of the plurality of pulsed voltage waveforms that comprises a first voltage level, and wherein the information within the first electrical signal obtained during the second time period comprises a second voltage level;compare the first voltage level with the second voltage level; andcontrol a magnitude of a voltage the DC voltage source supplies to the first point of the first power delivery line based on comparing the first voltage level with the second voltage level.
  • 2. The plasma processing chamber of claim 1, wherein the substrate support assembly comprises an electrostatic chuck, wherein the electrostatic chuck comprises the first dielectric layer and the first biasing electrode.
  • 3. The plasma processing chamber of claim 1, wherein the blocking resistor has a resistance greater than 100 kOhms.
  • 4. The plasma processing chamber of claim 1, wherein the substrate support assembly further comprises: a support base; anda second dielectric layer disposed between the support base andthe first biasing electrode; anda radio frequency generator electrically coupled to the support base through a second power delivery line, and configured to establish a radio frequency voltage waveform at the support base.
  • 5. The plasma processing chamber of claim 1, wherein the first dielectric layer has a thickness of between about 0.1 mm and about 2 mm.
  • 6. The plasma processing chamber of claim 1, wherein the clamping network is connected in parallel with the waveform generator, and the clamping network further comprises: a first diode coupled in parallel with the blocking resistor between the first point and the DC voltage source, wherein an anode side of the diode is coupled to the first point;a first capacitor coupled between a cathode side of the diode and ground; anda second resistor in series with the DC voltage source is coupled in parallel with the first capacitor.
  • 7. The plasma processing chamber of claim 1, wherein the substrate support assembly further comprises a second biasing electrode, wherein the first biasing electrode and the second biasing electrode are each selected from a group consisting of an edge control electrode and a chucking pole electrode.
  • 8. A plasma processing chamber, comprising: a substrate support assembly, comprising: a substrate supporting surface;a first electrode;a first dielectric layer disposed between the first electrode and the substrate supporting surface;a waveform generator configured to generate a plurality of pulsed voltage waveforms during a first time period and halt generation of the plurality of pulsed voltage waveforms during a second time period;a first power delivery line that electrically couples the waveform generator to the first electrode, wherein the first power delivery line comprises a blocking capacitor;a clamping network coupled to the first power delivery line at a first point between the blocking capacitor and the first electrode, the clamping network comprising: a direct-current (DC) voltage source coupled between the first point and ground; anda blocking resistor coupled between the first point and the DC voltage source;a first signal trace coupled to the first power delivery line between the blocking capacitor and the first electrode, the first signal trace configured to receive a first electrical signal; anda controller configured to: receive, during the first time period and the second time period, information within the first electrical signal obtained via the first signal trace coupled to the first point, wherein the information within the first electrical signal obtained during the first time period comprises a portion of a waveform of the plurality of pulsed voltage waveforms that comprises a first voltage level, and wherein the information within the first electrical signal obtained during the second time period comprises a second voltage level;compare the first voltage level with the second voltage level; andcontrol a magnitude of a voltage the DC voltage source supplies to the first point of the first power delivery line based on comparing the first voltage level with the second voltage level.
  • 9. The plasma processing chamber of claim 8, further comprising a diode coupled in parallel with the blocking resistor between the first point and the DC voltage source, wherein an anode side of the diode is coupled to the first point.
  • 10. The plasma processing chamber of claim 8, wherein the substrate support assembly comprises an electrostatic chuck, wherein the electrostatic chuck comprises the first dielectric layer and the first electrode.
  • 11. The plasma processing chamber of claim 8, wherein the blocking resistor has a resistance greater than 100 kOhms.
  • 12. The plasma processing chamber of claim 8, wherein the substrate support assembly further comprises: a support base; anda second dielectric layer disposed between the support base and the first electrode; anda radio frequency generator electrically coupled to the support base through a second power delivery line, and is configured to establish a radio frequency voltage waveform at the support base.
  • 13. The plasma processing chamber of claim 8, wherein the first dielectric layer has a thickness of between about 0.1 mm and about 2 mm.
  • 14. The plasma processing chamber of claim 8, wherein the clamping network is connected in parallel with the waveform generator, and the clamping network further comprises: a first diode coupled in parallel with the blocking resistor between the first point and the DC voltage source, wherein an anode side of the diode is coupled to the first point;a first capacitor coupled between a cathode side of the diode and ground; anda second resistor in series with the DC voltage source is coupled in parallel with the first capacitor.
  • 15. The plasma processing chamber of claim 8, wherein the first electrode comprises an edge control electrode or a chucking pole electrode.
US Referenced Citations (720)
Number Name Date Kind
4070589 Martinkovic Jan 1978 A
4340462 Koch Jul 1982 A
4464223 Gorin Aug 1984 A
4504895 Steigerwald Mar 1985 A
4585516 Corn et al. Apr 1986 A
4683529 Bucher, II Jul 1987 A
4931135 Horiuchi et al. Jun 1990 A
4992919 Lee et al. Feb 1991 A
5099697 Agar Mar 1992 A
5140510 Myers Aug 1992 A
5242561 Sato Sep 1993 A
5449410 Chang et al. Sep 1995 A
5451846 Peterson et al. Sep 1995 A
5464499 Moslehi et al. Nov 1995 A
5554959 Tang Sep 1996 A
5565036 Westendorp et al. Oct 1996 A
5595627 Inazawa et al. Jan 1997 A
5597438 Grewal et al. Jan 1997 A
5610452 Shimer et al. Mar 1997 A
5698062 Sakamoto et al. Dec 1997 A
5716534 Tsuchiya et al. Feb 1998 A
5770023 Sellers Jun 1998 A
5796598 Nowak et al. Aug 1998 A
5810982 Sellers Sep 1998 A
5830330 Lantsman Nov 1998 A
5882424 Taylor et al. Mar 1999 A
5928963 Koshiishi Jul 1999 A
5933314 Lambson et al. Aug 1999 A
5935373 Koshimizu Aug 1999 A
5948704 Benjamin et al. Sep 1999 A
5997687 Koshimizu Dec 1999 A
6043607 Roderick Mar 2000 A
6051114 Yao et al. Apr 2000 A
6055150 Clinton et al. Apr 2000 A
6074518 Imafuku et al. Jun 2000 A
6089181 Suemasa et al. Jul 2000 A
6099697 Hausmann Aug 2000 A
6110287 Arai et al. Aug 2000 A
6117279 Smolanoff et al. Sep 2000 A
6125025 Howald et al. Sep 2000 A
6133557 Kawanabe et al. Oct 2000 A
6136387 Koizumi Oct 2000 A
6187685 Hopkins et al. Feb 2001 B1
6197151 Kaji et al. Mar 2001 B1
6198616 Dahimene Mar 2001 B1
6201208 Wendt et al. Mar 2001 B1
6214162 Koshimizu Apr 2001 B1
6232236 Shan et al. May 2001 B1
6252354 Collins et al. Jun 2001 B1
6253704 Savas Jul 2001 B1
6277506 Okamoto Aug 2001 B1
6309978 Donohoe et al. Oct 2001 B1
6313583 Arita et al. Nov 2001 B1
6355992 Via Mar 2002 B1
6358573 Raoux et al. Mar 2002 B1
6367413 Sill et al. Apr 2002 B1
6392187 Johnson May 2002 B1
6395641 Savas May 2002 B2
6413358 Donohoe Jul 2002 B2
6423192 Wada et al. Jul 2002 B1
6433297 Kojima et al. Aug 2002 B1
6435131 Koizumi Aug 2002 B1
6451389 Amann et al. Sep 2002 B1
6456010 Yamakoshi et al. Sep 2002 B2
6483731 Isurin et al. Nov 2002 B1
6535785 Johnson et al. Mar 2003 B2
6621674 Zahringer et al. Sep 2003 B1
6664739 Kishinevsky et al. Dec 2003 B1
6733624 Koshiishi et al. May 2004 B2
6740842 Johnson et al. May 2004 B2
6741446 Ennis May 2004 B2
6777037 Sumiya et al. Aug 2004 B2
6808607 Christie Oct 2004 B2
6818103 Scholl et al. Nov 2004 B1
6818257 Amann et al. Nov 2004 B2
6830595 Reynolds, III Dec 2004 B2
6830650 Roche et al. Dec 2004 B2
6849154 Nagahata et al. Feb 2005 B2
6861373 Aoki et al. Mar 2005 B2
6863020 Mitrovic et al. Mar 2005 B2
6896775 Chistyakov May 2005 B2
6902646 Mahoney et al. Jun 2005 B2
6917204 Mitrovic et al. Jul 2005 B2
6947300 Pai et al. Sep 2005 B2
6962664 Mitrovic Nov 2005 B2
6970042 Glueck Nov 2005 B2
6972524 Marakhtanov et al. Dec 2005 B1
7016620 Maess et al. Mar 2006 B2
7046088 Ziegler May 2006 B2
7059267 Hedberg et al. Jun 2006 B2
7104217 Himori et al. Sep 2006 B2
7115185 Gonzalez et al. Oct 2006 B1
7126808 Koo et al. Oct 2006 B2
7147759 Chistyakov Dec 2006 B2
7151242 Schuler Dec 2006 B2
7166233 Johnson et al. Jan 2007 B2
7183177 Al-Bayati et al. Feb 2007 B2
7206189 Reynolds, III Apr 2007 B2
7218503 Howald May 2007 B2
7218872 Shimomura May 2007 B2
7226868 Mosden et al. Jun 2007 B2
7265963 Hirose Sep 2007 B2
7274266 Kirchmeier Sep 2007 B2
7305311 van Zyl Dec 2007 B2
7312974 Kuchimachi Dec 2007 B2
7408329 Wiedemuth et al. Aug 2008 B2
7415940 Koshimizu et al. Aug 2008 B2
7440301 Kirchmeier et al. Oct 2008 B2
7452443 Gluck et al. Nov 2008 B2
7479712 Richert Jan 2009 B2
7509105 Ziegler Mar 2009 B2
7512387 Glueck Mar 2009 B2
7535688 Yokouchi et al. May 2009 B2
7586099 Eyhorn et al. Sep 2009 B2
7586210 Wiedemuth et al. Sep 2009 B2
7588667 Cerio, Jr. Sep 2009 B2
7601246 Kim et al. Oct 2009 B2
7609740 Glueck Oct 2009 B2
7618686 Colpo Nov 2009 B2
7633319 Arai Dec 2009 B2
7645341 Kennedy et al. Jan 2010 B2
7651586 Moriya et al. Jan 2010 B2
7652901 Kirchmeier et al. Jan 2010 B2
7692936 Richter Apr 2010 B2
7700474 Cerio, Jr. Apr 2010 B2
7705676 Kirchmeier et al. Apr 2010 B2
7706907 Hiroki Apr 2010 B2
7718538 Kim et al. May 2010 B2
7740704 Strang Jun 2010 B2
7758764 Dhindsa et al. Jul 2010 B2
7761247 van Zyl Jul 2010 B2
7782100 Steuber et al. Aug 2010 B2
7791912 Walde Sep 2010 B2
7795817 Nitschke Sep 2010 B2
7808184 Chistyakov Oct 2010 B2
7821767 Fujii Oct 2010 B2
7825719 Roberg et al. Nov 2010 B2
7858533 Liu et al. Dec 2010 B2
7888240 Hamamjy et al. Feb 2011 B2
7898238 Wiedemuth et al. Mar 2011 B2
7929261 Wiedemuth Apr 2011 B2
RE42362 Schuler May 2011 E
7977256 Liu et al. Jul 2011 B2
7988816 Koshiishi et al. Aug 2011 B2
7995313 Nitschke Aug 2011 B2
8044595 Nitschke Oct 2011 B2
8052798 Moriya et al. Nov 2011 B2
8055203 Choueiry et al. Nov 2011 B2
8083961 Chen et al. Dec 2011 B2
8110992 Nitschke Feb 2012 B2
8128831 Sato et al. Mar 2012 B2
8129653 Kirchmeier et al. Mar 2012 B2
8133347 Gluck et al. Mar 2012 B2
8133359 Nauman et al. Mar 2012 B2
8140292 Wendt Mar 2012 B2
8217299 Ilic et al. Jul 2012 B2
8221582 Patrick et al. Jul 2012 B2
8236109 Moriya et al. Aug 2012 B2
8284580 Wilson Oct 2012 B2
8313612 McMillin et al. Nov 2012 B2
8313664 Chen et al. Nov 2012 B2
8333114 Hayashi Dec 2012 B2
8361906 Lee et al. Jan 2013 B2
8382999 Agarwal et al. Feb 2013 B2
8383001 Mochiki et al. Feb 2013 B2
8384403 Zollner et al. Feb 2013 B2
8391025 Walde et al. Mar 2013 B2
8399366 Takaba Mar 2013 B1
8419959 Bettencourt et al. Apr 2013 B2
8422193 Tao et al. Apr 2013 B2
8441772 Yoshikawa et al. May 2013 B2
8456220 Thome et al. Jun 2013 B2
8460567 Chen Jun 2013 B2
8466622 Knaus Jun 2013 B2
8542076 Maier Sep 2013 B2
8551289 Nishimura et al. Oct 2013 B2
8568606 Ohse et al. Oct 2013 B2
8603293 Koshiishi et al. Dec 2013 B2
8632537 McNall, III et al. Jan 2014 B2
8641916 Yatsuda et al. Feb 2014 B2
8685267 Yatsuda et al. Apr 2014 B2
8704607 Yuzurihara et al. Apr 2014 B2
8716114 Ohmi et al. May 2014 B2
8716984 Mueller et al. May 2014 B2
8735291 Ranjan et al. May 2014 B2
8796933 Hermanns Aug 2014 B2
8809199 Nishizuka Aug 2014 B2
8821684 Ui et al. Sep 2014 B2
8828883 Rueger Sep 2014 B2
8845810 Hwang Sep 2014 B2
8852347 Lee et al. Oct 2014 B2
8884523 Winterhalter et al. Nov 2014 B2
8884525 Hoffman et al. Nov 2014 B2
8889534 Ventzek et al. Nov 2014 B1
8895942 Liu et al. Nov 2014 B2
8907259 Kasai et al. Dec 2014 B2
8916056 Koo et al. Dec 2014 B2
8926850 Singh et al. Jan 2015 B2
8963377 Ziemba et al. Feb 2015 B2
8979842 McNall, III et al. Mar 2015 B2
8993943 Pohl et al. Mar 2015 B2
9011636 Ashida Apr 2015 B2
9039871 Nauman et al. May 2015 B2
9042121 Walde et al. May 2015 B2
9053908 Sriraman et al. Jun 2015 B2
9059178 Matsumoto et al. Jun 2015 B2
9087798 Ohtake et al. Jul 2015 B2
9101038 Singh et al. Aug 2015 B2
9105447 Brouk et al. Aug 2015 B2
9105452 Jeon et al. Aug 2015 B2
9123762 Lin et al. Sep 2015 B2
9129776 Finley et al. Sep 2015 B2
9139910 Lee et al. Sep 2015 B2
9147555 Richter Sep 2015 B2
9150960 Nauman et al. Oct 2015 B2
9159575 Ranjan et al. Oct 2015 B2
9208992 Brouk et al. Dec 2015 B2
9209032 Zhao et al. Dec 2015 B2
9209034 Kitamura et al. Dec 2015 B2
9210790 Hoffman et al. Dec 2015 B2
9224579 Finley et al. Dec 2015 B2
9226380 Finley Dec 2015 B2
9228878 Haw et al. Jan 2016 B2
9254168 Palanker Feb 2016 B2
9263241 Arson et al. Feb 2016 B2
9287086 Brouk et al. Mar 2016 B2
9287092 Brouk et al. Mar 2016 B2
9287098 Finley Mar 2016 B2
9306533 Mavretic Apr 2016 B1
9309594 Hoffman et al. Apr 2016 B2
9313872 Yamazawa Apr 2016 B2
9355822 Yamada et al. May 2016 B2
9362089 Brouk et al. Jun 2016 B2
9373521 Mochiki et al. Jun 2016 B2
9384992 Narishige et al. Jul 2016 B2
9396960 Ogawa et al. Jul 2016 B2
9404176 Parkhe et al. Aug 2016 B2
9412613 Manna et al. Aug 2016 B2
9435029 Brouk et al. Sep 2016 B2
9483066 Finley Nov 2016 B2
9490107 Kim et al. Nov 2016 B2
9495563 Ziemba et al. Nov 2016 B2
9496150 Mochiki et al. Nov 2016 B2
9503006 Pohl et al. Nov 2016 B2
9520269 Finley et al. Dec 2016 B2
9530667 Rastogi et al. Dec 2016 B2
9536713 Van Zyl et al. Jan 2017 B2
9544987 Mueller et al. Jan 2017 B2
9558917 Finley et al. Jan 2017 B2
9564287 Ohse et al. Feb 2017 B2
9570313 Ranjan et al. Feb 2017 B2
9576810 Deshmukh et al. Feb 2017 B2
9576816 Rastogi et al. Feb 2017 B2
9577516 Van Zyl Feb 2017 B1
9583357 Long et al. Feb 2017 B1
9593421 Baek et al. Mar 2017 B2
9601283 Ziemba et al. Mar 2017 B2
9601319 Bravo et al. Mar 2017 B1
9607843 Rastogi et al. Mar 2017 B2
9620340 Finley Apr 2017 B2
9620376 Kamp et al. Apr 2017 B2
9620987 Alexander et al. Apr 2017 B2
9637814 Bugyi et al. May 2017 B2
9644221 Kanamori et al. May 2017 B2
9651957 Finley May 2017 B1
9655221 Ziemba et al. May 2017 B2
9663858 Nagami et al. May 2017 B2
9666446 Tominaga et al. May 2017 B2
9666447 Rastogi et al. May 2017 B2
9673027 Yamamoto et al. Jun 2017 B2
9673059 Raley et al. Jun 2017 B2
9685297 Carter et al. Jun 2017 B2
9706630 Miller et al. Jul 2017 B2
9711331 Mueller et al. Jul 2017 B2
9711335 Christie Jul 2017 B2
9728429 Ricci et al. Aug 2017 B2
9734992 Yamada et al. Aug 2017 B2
9741544 Van Zyl Aug 2017 B2
9754768 Yamada et al. Sep 2017 B2
9761419 Nagami Sep 2017 B2
9761459 Long et al. Sep 2017 B2
9767988 Brouk et al. Sep 2017 B2
9786503 Raley et al. Oct 2017 B2
9799494 Chen et al. Oct 2017 B2
9805916 Konno et al. Oct 2017 B2
9805965 Sadjadi et al. Oct 2017 B2
9812305 Pelleymounter Nov 2017 B2
9831064 Konno et al. Nov 2017 B2
9837285 Tomura et al. Dec 2017 B2
9840770 Klimczak et al. Dec 2017 B2
9852889 Kellogg et al. Dec 2017 B1
9852890 Mueller et al. Dec 2017 B2
9865471 Shimoda et al. Jan 2018 B2
9865893 Esswein et al. Jan 2018 B2
9870898 Urakawa et al. Jan 2018 B2
9872373 Shimizu Jan 2018 B1
9881820 Wong et al. Jan 2018 B2
9922802 Hirano et al. Mar 2018 B2
9922806 Tomura et al. Mar 2018 B2
9929004 Ziemba et al. Mar 2018 B2
9941097 Yamazawa et al. Apr 2018 B2
9941098 Nagami Apr 2018 B2
9960763 Miller et al. May 2018 B2
9972503 Tomura et al. May 2018 B2
9997374 Takeda et al. Jun 2018 B2
10020800 Prager et al. Jul 2018 B2
10026593 Alt et al. Jul 2018 B2
10027314 Prager et al. Jul 2018 B2
10041174 Matsumoto et al. Aug 2018 B2
10042407 Grede et al. Aug 2018 B2
10063062 Voronin et al. Aug 2018 B2
10074518 Van Zyl Sep 2018 B2
10085796 Podany Oct 2018 B2
10090191 Tomura et al. Oct 2018 B2
10102321 Povolny et al. Oct 2018 B2
10109461 Yamada et al. Oct 2018 B2
10115567 Hirano et al. Oct 2018 B2
10115568 Kellogg et al. Oct 2018 B2
10176970 Nitschke Jan 2019 B2
10176971 Nagami Jan 2019 B2
10181392 Leypold et al. Jan 2019 B2
10199246 Koizumi et al. Feb 2019 B2
10217618 Larson et al. Feb 2019 B2
10217933 Nishimura et al. Feb 2019 B2
10224822 Miller et al. Mar 2019 B2
10229819 Hirano et al. Mar 2019 B2
10249498 Ventzek et al. Apr 2019 B2
10268846 Miller et al. Apr 2019 B2
10269540 Carter et al. Apr 2019 B1
10276420 Ito et al. Apr 2019 B2
10282567 Miller et al. May 2019 B2
10283321 Yang et al. May 2019 B2
10290506 Ranjan et al. May 2019 B2
10297431 Zelechowski et al. May 2019 B2
10304661 Ziemba et al. May 2019 B2
10304668 Coppa et al. May 2019 B2
10312048 Dorf et al. Jun 2019 B2
10312056 Collins et al. Jun 2019 B2
10320373 Prager et al. Jun 2019 B2
10332730 Christie Jun 2019 B2
10340123 Ohtake Jul 2019 B2
10348186 Schuler et al. Jul 2019 B2
10354839 Alt et al. Jul 2019 B2
10373755 Prager et al. Aug 2019 B2
10373804 Koh et al. Aug 2019 B2
10373811 Christie et al. Aug 2019 B2
10381237 Takeda et al. Aug 2019 B2
10382022 Prager et al. Aug 2019 B2
10387166 Preston et al. Aug 2019 B2
10388544 Ui et al. Aug 2019 B2
10389345 Ziemba et al. Aug 2019 B2
10410877 Takashima et al. Sep 2019 B2
10431437 Gapi{right arrow over (n)}ski et al. Oct 2019 B2
10438797 Cottle et al. Oct 2019 B2
10446453 Coppa et al. Oct 2019 B2
10447174 Porter, Jr. et al. Oct 2019 B1
10448494 Dorf et al. Oct 2019 B1
10448495 Dorf et al. Oct 2019 B1
10453656 Carducci et al. Oct 2019 B2
10460910 Ziemba et al. Oct 2019 B2
10460911 Ziemba et al. Oct 2019 B2
10460916 Boyd, Jr. et al. Oct 2019 B2
10483089 Ziemba et al. Nov 2019 B2
10483100 Ishizaka et al. Nov 2019 B2
10510575 Kraus et al. Dec 2019 B2
10522343 Tapily et al. Dec 2019 B2
10535502 Carducci et al. Jan 2020 B2
10546728 Carducci et al. Jan 2020 B2
10553407 Nagami et al. Feb 2020 B2
10555412 Dorf et al. Feb 2020 B2
10580620 Carducci et al. Mar 2020 B2
10593519 Yamada et al. Mar 2020 B2
10607813 Fairbairn et al. Mar 2020 B2
10607814 Ziemba et al. Mar 2020 B2
10658189 Hatazaki et al. May 2020 B2
10659019 Slobodov et al. May 2020 B2
10665434 Matsumoto et al. May 2020 B2
10666198 Prager et al. May 2020 B2
10672589 Koshimizu et al. Jun 2020 B2
10672596 Brcka Jun 2020 B2
10672616 Kubota Jun 2020 B2
10685807 Dorf et al. Jun 2020 B2
10707053 Urakawa et al. Jul 2020 B2
10707054 Kubota Jul 2020 B1
10707055 Shaw et al. Jul 2020 B2
10707086 Yang et al. Jul 2020 B2
10707090 Takayama et al. Jul 2020 B2
10707864 Miller et al. Jul 2020 B2
10714372 Chua et al. Jul 2020 B2
10720305 Van Zyl Jul 2020 B2
10734906 Miller et al. Aug 2020 B2
10748746 Kaneko et al. Aug 2020 B2
10755894 Hirano et al. Aug 2020 B2
10763150 Lindley et al. Sep 2020 B2
10773282 Coppa et al. Sep 2020 B2
10774423 Janakiraman et al. Sep 2020 B2
10777388 Ziemba et al. Sep 2020 B2
10790816 Ziemba et al. Sep 2020 B2
10791617 Dorf et al. Sep 2020 B2
10796887 Prager et al. Oct 2020 B2
10804886 Miller et al. Oct 2020 B2
10811227 Van Zyl et al. Oct 2020 B2
10811228 Van Zyl et al. Oct 2020 B2
10811229 Van Zyl et al. Oct 2020 B2
10811230 Ziemba et al. Oct 2020 B2
10811296 Cho et al. Oct 2020 B2
10847346 Ziemba et al. Nov 2020 B2
10892140 Ziemba et al. Jan 2021 B2
10892141 Ziemba et al. Jan 2021 B2
10896807 Fairbairn et al. Jan 2021 B2
10896809 Ziemba et al. Jan 2021 B2
10903047 Ziemba et al. Jan 2021 B2
10904996 Koh et al. Jan 2021 B2
10916408 Dorf et al. Feb 2021 B2
10923320 Koh et al. Feb 2021 B2
10923321 Dorf et al. Feb 2021 B2
10923367 Lubomirsky et al. Feb 2021 B2
10923379 Liu et al. Feb 2021 B2
10971342 Engelstaedter et al. Apr 2021 B2
10978274 Kubota Apr 2021 B2
10978955 Ziemba et al. Apr 2021 B2
10985740 Prager et al. Apr 2021 B2
10991553 Ziemba et al. Apr 2021 B2
10991554 Zhao et al. Apr 2021 B2
10998169 Ventzek et al. May 2021 B2
11004660 Prager et al. May 2021 B2
11011349 Brouk et al. May 2021 B2
11075058 Ziemba et al. Jul 2021 B2
11095280 Ziemba et al. Aug 2021 B2
11101108 Slobodov et al. Aug 2021 B2
11108384 Prager et al. Aug 2021 B2
20010003298 Shamouilian et al. Jun 2001 A1
20010009139 Shan et al. Jul 2001 A1
20010033755 Ino et al. Oct 2001 A1
20020069971 Kaji Jun 2002 A1
20020078891 Chu et al. Jun 2002 A1
20030026060 Hiramatsu et al. Feb 2003 A1
20030029859 Knoot et al. Feb 2003 A1
20030049558 Aoki et al. Mar 2003 A1
20030052085 Parsons Mar 2003 A1
20030079983 Long et al. May 2003 A1
20030091355 Jeschonek et al. May 2003 A1
20030137791 Arnet et al. Jul 2003 A1
20030151372 Tsuchiya et al. Aug 2003 A1
20030165044 Yamamoto Sep 2003 A1
20030201069 Johnson Oct 2003 A1
20040040665 Mizuno et al. Mar 2004 A1
20040040931 Koshiishi et al. Mar 2004 A1
20040066601 Larsen Apr 2004 A1
20040112536 Quon Jun 2004 A1
20040223284 Iwami et al. Nov 2004 A1
20050022933 Howard Feb 2005 A1
20050024809 Kuchimachi Feb 2005 A1
20050039852 Roche et al. Feb 2005 A1
20050092596 Kouznetsov May 2005 A1
20050098118 Amann et al. May 2005 A1
20050151544 Mahoney et al. Jul 2005 A1
20050152159 Isurin et al. Jul 2005 A1
20050286916 Nakazato et al. Dec 2005 A1
20060075969 Fischer Apr 2006 A1
20060130767 Herchen Jun 2006 A1
20060139843 Kim Jun 2006 A1
20060158823 Mizuno et al. Jul 2006 A1
20060171848 Roche et al. Aug 2006 A1
20060219178 Asakura Oct 2006 A1
20060278521 Stowell Dec 2006 A1
20070113787 Higashiura et al. May 2007 A1
20070114981 Vasquez et al. May 2007 A1
20070196977 Wang et al. Aug 2007 A1
20070284344 Todorov et al. Dec 2007 A1
20070285869 Howald Dec 2007 A1
20070297118 Fujii Dec 2007 A1
20080012548 Gerhardt et al. Jan 2008 A1
20080037196 Yonekura et al. Feb 2008 A1
20080048498 Wiedemuth et al. Feb 2008 A1
20080106842 Ito et al. May 2008 A1
20080135401 Kadlec et al. Jun 2008 A1
20080160212 Koo Jul 2008 A1
20080185537 Walther et al. Aug 2008 A1
20080210545 Kouznetsov Sep 2008 A1
20080236493 Sakao Oct 2008 A1
20080252225 Kurachi et al. Oct 2008 A1
20080272706 Kwon et al. Nov 2008 A1
20080289576 Lee et al. Nov 2008 A1
20090016549 French et al. Jan 2009 A1
20090059462 Mizuno et al. Mar 2009 A1
20090078678 Kojima Mar 2009 A1
20090133839 Yamazawa et al. May 2009 A1
20090236214 Janakiraman et al. Sep 2009 A1
20090295295 Shannon et al. Dec 2009 A1
20100018648 Collins et al. Jan 2010 A1
20100025230 Ehiasarian et al. Feb 2010 A1
20100029038 Murakawa Feb 2010 A1
20100072172 Ui et al. Mar 2010 A1
20100101935 Chistyakov et al. Apr 2010 A1
20100118464 Matsuyama May 2010 A1
20100154994 Fischer et al. Jun 2010 A1
20100190350 Yatsuda Jul 2010 A1
20100193491 Cho et al. Aug 2010 A1
20100271744 Ni et al. Oct 2010 A1
20100276273 Heckman et al. Nov 2010 A1
20100321047 Zollner et al. Dec 2010 A1
20100326957 Maeda et al. Dec 2010 A1
20110096461 Yoshikawa et al. Apr 2011 A1
20110100807 Matsubara et al. May 2011 A1
20110143537 Lee et al. Jun 2011 A1
20110157760 Willwerth et al. Jun 2011 A1
20110177669 Lee et al. Jul 2011 A1
20110177694 Chen et al. Jul 2011 A1
20110259851 Brouk et al. Oct 2011 A1
20110281438 Lee et al. Nov 2011 A1
20110298376 Kanegae Dec 2011 A1
20120000421 Miller et al. Jan 2012 A1
20120052599 Brouk et al. Mar 2012 A1
20120081350 Sano et al. Apr 2012 A1
20120088371 Ranjan et al. Apr 2012 A1
20120097908 Willwerth et al. Apr 2012 A1
20120171390 Nauman Jul 2012 A1
20120319584 Brouk et al. Dec 2012 A1
20130050892 Kuthi et al. Feb 2013 A1
20130059448 Marakhtanov Mar 2013 A1
20130087447 Bodke et al. Apr 2013 A1
20130175575 Ziemba et al. Jul 2013 A1
20130213935 Liao et al. Aug 2013 A1
20130214828 Valcore, Jr. et al. Aug 2013 A1
20130340938 Tappan et al. Dec 2013 A1
20130344702 Nishizuka Dec 2013 A1
20140057447 Yang Feb 2014 A1
20140061156 Brouk et al. Mar 2014 A1
20140062495 Carter et al. Mar 2014 A1
20140077611 Young et al. Mar 2014 A1
20140109886 Singleton et al. Apr 2014 A1
20140117861 Finley et al. May 2014 A1
20140125315 Kirchmeier et al. May 2014 A1
20140154819 Gaff et al. Jun 2014 A1
20140177123 Thach et al. Jun 2014 A1
20140238844 Chistyakov Aug 2014 A1
20140262755 Deshmukh et al. Sep 2014 A1
20140263182 Chen et al. Sep 2014 A1
20140273487 Deshmukh et al. Sep 2014 A1
20140305905 Yamada et al. Oct 2014 A1
20140356984 Ventzek et al. Dec 2014 A1
20140361690 Yamada et al. Dec 2014 A1
20150002018 Lill et al. Jan 2015 A1
20150043123 Cox Feb 2015 A1
20150076112 Sriraman et al. Mar 2015 A1
20150084509 Yuzurihara et al. Mar 2015 A1
20150111394 Hsu Apr 2015 A1
20150116889 Yamasaki et al. Apr 2015 A1
20150130354 Leray et al. May 2015 A1
20150130525 Miller et al. May 2015 A1
20150170952 Subramani et al. Jun 2015 A1
20150181683 Singh et al. Jun 2015 A1
20150235809 Ito et al. Aug 2015 A1
20150256086 Miller et al. Sep 2015 A1
20150303914 Ziemba et al. Oct 2015 A1
20150315698 Chistyakov Nov 2015 A1
20150318846 Prager et al. Nov 2015 A1
20150325413 Kim et al. Nov 2015 A1
20150366004 Nangoy et al. Dec 2015 A1
20160004475 Beniyama et al. Jan 2016 A1
20160020072 Brouk et al. Jan 2016 A1
20160027678 Parkhe et al. Jan 2016 A1
20160056017 Kim et al. Feb 2016 A1
20160064189 Tandou et al. Mar 2016 A1
20160196958 Leray et al. Jul 2016 A1
20160241234 Mavretic Aug 2016 A1
20160284514 Hirano Sep 2016 A1
20160314946 Pelleymounter Oct 2016 A1
20160322242 Nguyen et al. Nov 2016 A1
20160327029 Ziemba et al. Nov 2016 A1
20160351375 Valcore, Jr. et al. Dec 2016 A1
20160358755 Long et al. Dec 2016 A1
20170011887 Deshmukh et al. Jan 2017 A1
20170018411 Sriraman et al. Jan 2017 A1
20170022604 Christie et al. Jan 2017 A1
20170029937 Chistyakov et al. Feb 2017 A1
20170069462 Kanarik et al. Mar 2017 A1
20170076962 Engelhardt Mar 2017 A1
20170098527 Kawasaki et al. Apr 2017 A1
20170098549 Agarwal Apr 2017 A1
20170110335 Yang et al. Apr 2017 A1
20170110358 Sadjadi et al. Apr 2017 A1
20170113355 Genetti et al. Apr 2017 A1
20170115657 Trussell et al. Apr 2017 A1
20170117172 Genetti et al. Apr 2017 A1
20170154726 Prager et al. Jun 2017 A1
20170162417 Ye et al. Jun 2017 A1
20170163254 Ziemba et al. Jun 2017 A1
20170169996 Ui et al. Jun 2017 A1
20170170449 Alexander et al. Jun 2017 A1
20170178917 Kamp et al. Jun 2017 A1
20170221682 Nishimura et al. Aug 2017 A1
20170236688 Caron et al. Aug 2017 A1
20170236741 Angelov et al. Aug 2017 A1
20170236743 Severson et al. Aug 2017 A1
20170243731 Ziemba et al. Aug 2017 A1
20170250056 Boswell et al. Aug 2017 A1
20170263478 McChesney et al. Sep 2017 A1
20170278665 Carter et al. Sep 2017 A1
20170287791 Coppa et al. Oct 2017 A1
20170311431 Park Oct 2017 A1
20170316935 Tan et al. Nov 2017 A1
20170330734 Lee et al. Nov 2017 A1
20170330786 Genetti et al. Nov 2017 A1
20170334074 Genetti et al. Nov 2017 A1
20170358431 Dorf et al. Dec 2017 A1
20170365531 Tedeschi Dec 2017 A1
20170366173 Miller et al. Dec 2017 A1
20170372912 Long et al. Dec 2017 A1
20180019100 Brouk et al. Jan 2018 A1
20180076032 Wang et al. Mar 2018 A1
20180102769 Prager et al. Apr 2018 A1
20180139834 Nagashima et al. May 2018 A1
20180166249 Dorf et al. Jun 2018 A1
20180189524 Miller et al. Jul 2018 A1
20180190501 Ueda Jul 2018 A1
20180204708 Tan et al. Jul 2018 A1
20180205369 Prager et al. Jul 2018 A1
20180218905 Park et al. Aug 2018 A1
20180226225 Koh et al. Aug 2018 A1
20180226896 Miller et al. Aug 2018 A1
20180253570 Miller et al. Sep 2018 A1
20180286636 Ziemba et al. Oct 2018 A1
20180294566 Wang et al. Oct 2018 A1
20180309423 Okunishi et al. Oct 2018 A1
20180331655 Prager et al. Nov 2018 A1
20180350649 Gomm Dec 2018 A1
20180366305 Nagami et al. Dec 2018 A1
20180374672 Hayashi et al. Dec 2018 A1
20190027344 Okunishi et al. Jan 2019 A1
20190080884 Ziemba et al. Mar 2019 A1
20190090338 Koh et al. Mar 2019 A1
20190096633 Pankratz et al. Mar 2019 A1
20190157041 Zyl et al. May 2019 A1
20190157042 Van Zyl et al. May 2019 A1
20190157043 Shaw May 2019 A1
20190157044 Ziemba et al. May 2019 A1
20190172685 Van Zyl et al. Jun 2019 A1
20190172688 Ueda Jun 2019 A1
20190180982 Brouk et al. Jun 2019 A1
20190198333 Tokashiki Jun 2019 A1
20190259562 Dorf et al. Aug 2019 A1
20190267218 Wang et al. Aug 2019 A1
20190277804 Prager et al. Sep 2019 A1
20190295769 Prager et al. Sep 2019 A1
20190295819 Okunishi et al. Sep 2019 A1
20190318918 Saitoh et al. Oct 2019 A1
20190333741 Nagami et al. Oct 2019 A1
20190341232 Thokachichu et al. Nov 2019 A1
20190348258 Koh et al. Nov 2019 A1
20190348263 Okunishi Nov 2019 A1
20190350072 Dorf Nov 2019 A1
20190363388 Esswein et al. Nov 2019 A1
20190385822 Marakhtanov et al. Dec 2019 A1
20190393791 Ziemba et al. Dec 2019 A1
20200016109 Feng et al. Jan 2020 A1
20200020510 Shoeb et al. Jan 2020 A1
20200024330 Chan-Hui et al. Jan 2020 A1
20200035457 Ziemba et al. Jan 2020 A1
20200035458 Ziemba et al. Jan 2020 A1
20200035459 Ziemba et al. Jan 2020 A1
20200036367 Slobodov et al. Jan 2020 A1
20200037468 Ziemba et al. Jan 2020 A1
20200051785 Miller et al. Feb 2020 A1
20200051786 Ziemba et al. Feb 2020 A1
20200058475 Engelstaedter et al. Feb 2020 A1
20200066497 Engelstaedter et al. Feb 2020 A1
20200066498 Engelstaedter et al. Feb 2020 A1
20200075293 Ventzek et al. Mar 2020 A1
20200090905 Brouk et al. Mar 2020 A1
20200106137 Murphy et al. Apr 2020 A1
20200126760 Ziemba et al. Apr 2020 A1
20200126837 Kuno et al. Apr 2020 A1
20200144030 Prager et al. May 2020 A1
20200161091 Ziemba et al. May 2020 A1
20200161098 Cui et al. May 2020 A1
20200161155 Rogers et al. May 2020 A1
20200162061 Prager et al. May 2020 A1
20200168436 Ziemba et al. May 2020 A1
20200168437 Ziemba May 2020 A1
20200176221 Prager et al. Jun 2020 A1
20200227230 Ziemba et al. Jul 2020 A1
20200227289 Song et al. Jul 2020 A1
20200234922 Dorf Jul 2020 A1
20200234923 Dorf Jul 2020 A1
20200243303 Mishra et al. Jul 2020 A1
20200251371 Kuno et al. Aug 2020 A1
20200266022 Dorf et al. Aug 2020 A1
20200266035 Nagaiwa Aug 2020 A1
20200294770 Kubota Sep 2020 A1
20200328739 Miller et al. Oct 2020 A1
20200352017 Dorf et al. Nov 2020 A1
20200357607 Ziemba et al. Nov 2020 A1
20200373114 Prager et al. Nov 2020 A1
20200389126 Prager et al. Dec 2020 A1
20200407840 Hayashi et al. Dec 2020 A1
20200411286 Koshimizu et al. Dec 2020 A1
20210005428 Shaw et al. Jan 2021 A1
20210013006 Nguyen et al. Jan 2021 A1
20210013011 Prager et al. Jan 2021 A1
20210013874 Miller et al. Jan 2021 A1
20210027990 Ziemba et al. Jan 2021 A1
20210029815 Bowman et al. Jan 2021 A1
20210043424 Mori Feb 2021 A1
20210043472 Koshimizu et al. Feb 2021 A1
20210051792 Dokan et al. Feb 2021 A1
20210066042 Ziemba et al. Mar 2021 A1
20210082669 Koshiishi et al. Mar 2021 A1
20210091759 Prager et al. Mar 2021 A1
20210125812 Ziemba et al. Apr 2021 A1
20210130955 Nagaike et al. May 2021 A1
20210140044 Nagaike et al. May 2021 A1
20210151295 Ziemba et al. May 2021 A1
20210152163 Miller et al. May 2021 A1
20210210313 Ziemba et al. Jul 2021 A1
20210210315 Ziemba et al. Jul 2021 A1
20210249227 Bowman et al. Aug 2021 A1
20210272775 Koshimizu Sep 2021 A1
20210288582 Ziemba et al. Sep 2021 A1
Foreign Referenced Citations (137)
Number Date Country
101990353 Mar 2011 CN
102084024 Jun 2011 CN
101707186 Feb 2012 CN
105408993 Mar 2016 CN
106206234 Dec 2016 CN
104752134 Feb 2017 CN
665306 Aug 1995 EP
983394 Mar 2000 EP
1119033 Jul 2001 EP
1203441 May 2002 EP
1214459 Jun 2002 EP
1418670 May 2004 EP
1691481 Aug 2006 EP
1701376 Sep 2006 EP
1708239 Oct 2006 EP
1780777 May 2007 EP
1852959 Nov 2007 EP
2096679 Sep 2009 EP
2221614 Aug 2010 EP
2541584 Jan 2013 EP
2580368 Apr 2013 EP
2612544 Jul 2013 EP
2838112 Feb 2015 EP
2991103 Mar 2016 EP
3086359 Oct 2016 EP
3396700 Oct 2018 EP
3616234 Mar 2020 EP
H08236602 Sep 1996 JP
2748213 May 1998 JP
H11025894 Jan 1999 JP
2002-313899 Oct 2002 JP
2002299322 Oct 2002 JP
4418424 Feb 2010 JP
2011035266 Feb 2011 JP
5018244 Sep 2012 JP
2014112644 Jun 2014 JP
2016-225439 Dec 2016 JP
6741461 Aug 2020 JP
100757347 Sep 2007 KR
10-2007-0098556 Oct 2007 KR
20160042429 Apr 2016 KR
20190129745 Nov 2019 KR
20200036947 Apr 2020 KR
498706 Aug 2002 TW
201717247 May 2017 TW
1998053116 Nov 1998 WO
2000017920 Mar 2000 WO
2000030147 May 2000 WO
2000063459 Oct 2000 WO
2001005020 Jan 2001 WO
2001012873 Feb 2001 WO
2001013402 Feb 2001 WO
2002052628 Jul 2002 WO
2002054835 Jul 2002 WO
2002059954 Aug 2002 WO
2003037497 May 2003 WO
2003052882 Jun 2003 WO
2003054911 Jul 2003 WO
2003077414 Sep 2003 WO
2004084394 Sep 2004 WO
2005124844 Dec 2005 WO
2007118042 Oct 2007 WO
2008016747 Feb 2008 WO
2008050619 May 2008 WO
2008061775 May 2008 WO
2008061784 May 2008 WO
2008062663 May 2008 WO
2009012804 Jan 2009 WO
2009069670 Jun 2009 WO
2009111473 Sep 2009 WO
2011073093 Jun 2011 WO
2011087984 Jul 2011 WO
2011156055 Dec 2011 WO
2012030500 Mar 2012 WO
2012109159 Aug 2012 WO
2012122064 Sep 2012 WO
2013000918 Jan 2013 WO
2013016619 Jan 2013 WO
2013084459 Jun 2013 WO
2013088677 Jun 2013 WO
2013099133 Jul 2013 WO
2013114882 Aug 2013 WO
2013118660 Aug 2013 WO
2013125523 Aug 2013 WO
2013187218 Dec 2013 WO
2014035889 Mar 2014 WO
2014035894 Mar 2014 WO
2014035897 Mar 2014 WO
2014036000 Mar 2014 WO
2014124857 Aug 2014 WO
2014197145 Dec 2014 WO
2015060185 Apr 2015 WO
2014124857 May 2015 WO
2015134398 Sep 2015 WO
2015198854 Dec 2015 WO
2016002547 Jan 2016 WO
2016059207 Apr 2016 WO
2016060058 Apr 2016 WO
2016060063 Apr 2016 WO
2015073921 May 2016 WO
2016104098 Jun 2016 WO
2016128384 Aug 2016 WO
2016131061 Aug 2016 WO
2016170989 Oct 2016 WO
2017172536 Oct 2017 WO
2017208807 Dec 2017 WO
2018048925 Mar 2018 WO
2018111751 Jun 2018 WO
2018170010 Sep 2018 WO
2018197702 Nov 2018 WO
2019036587 Feb 2019 WO
2019040949 Feb 2019 WO
2019099102 May 2019 WO
2019099870 May 2019 WO
2019185423 Oct 2019 WO
2019225184 Nov 2019 WO
2019239872 Dec 2019 WO
2019244697 Dec 2019 WO
2019244698 Dec 2019 WO
2019244734 Dec 2019 WO
2019245729 Dec 2019 WO
2020004048 Jan 2020 WO
2020017328 Jan 2020 WO
2020022318 Jan 2020 WO
2020022319 Jan 2020 WO
2020026802 Feb 2020 WO
2020036806 Feb 2020 WO
2020037331 Feb 2020 WO
2020046561 Mar 2020 WO
2020051064 Mar 2020 WO
2020112921 Jun 2020 WO
2020121819 Jun 2020 WO
2020145051 Jul 2020 WO
2021003319 Jan 2021 WO
2021062223 Apr 2021 WO
2021097459 May 2021 WO
2021134000 Jul 2021 WO
Non-Patent Literature Citations (56)
Entry
International Search Report and Written Opinion for PCT/US2022/027892 dated Aug. 26, 2022.
The International Search Report and the Written Opinion for International Application No. PCT/US2021/040380; dated Oct. 27, 2021; 10 pages.
International Search Report and Written Opinion dated Feb. 4, 2022 for Application No. PCT/US2021/054806.
International Search Report and Written Opinion dated Feb. 4, 2022 for Application No. PCT/US2021/054814.
U.S. Appl. No. 17/346,103, filed Jun. 11, 2021.
U.S. Appl. No. 17/349,763, filed Jun. 16, 2021.
U.S. Appl. No. 63/242,410, filed Sep. 9, 2021.
U.S. Appl. No. 17/410,803, filed Aug. 24, 2021.
U.S. Appl. No. 17/537,107, filed Nov. 29, 2021.
U.S. Appl. No. 17/352,165, filed Jun. 18, 2021.
U.S. Appl. No. 17/352,176, filed Jun. 18, 2021.
U.S. Appl. No. 17/337,146, filed Jun. 2, 2021.
U.S. Appl. No. 17/361,178, filed Jun. 28, 2021.
U.S. Appl. No. 63/210,956, filed Jun. 15, 2021.
U.S. Appl. No. 17/475,223, filed Sep. 14, 2021.
U.S. Appl. No. 17/537,314, filed Nov. 29, 2021.
Chinese Office Action for 201880053380.1 dated Dec. 2, 2021.
Wang, S.B., et al.—“Control of ion energy distribution at substrates during plasma processing,” Journal of Applied Physics, vol. 88, No. 2, Jul. 15, 2000, pp. 643-646.
Eagle Harbor Technologies presentation by Dr. Kenneth E. Miller—“The EHT Integrated Power Module (IPM): An IGBT-Based, High Current, Ultra-Fast, Modular, Programmable Power Supply Unit,” Jun. 2013, 21 pages.
Eagle Harbor Technologies webpage—“EHT Integrator Demonstration at DIII-D,” 2015, 1 page.
Eagle Harbor Technologies webpage—“High Gain and Frequency Ultra-Stable Integrators for ICC and Long Pulse ITER Applications,” 2012, 1 page.
Eagle Harbor Technologies webpage—High Gain and Frequency Ultra-Stable Integrators for Long Pulse and/or High Current Applications, 2018, 1 page.
Eagle Harbor Technologies webpage—“In Situ Testing of EHT Integrators on a Tokamak,” 2015, 1 page.
Eagle Harbor Technologies webpage—“Long-Pulse Integrator Testing with DIII-D Magnetic Diagnostics,” 2016, 1 page.
Kamada, Keiichi, et al., Editors—“New Developments of Plasma Science with Pulsed Power Technology,” Research Report, NIFS-PROC-82, presented at National Institute for Fusion Science, Toki, Gifu, Japan, Mar. 5-6, 2009, 109 pages.
Prager, J.R., et al.—“A High Voltage Nanosecond Pulser with Variable Pulse Width and Pulse Repetition Frequency Control for Nonequilibrium Plasma Applications,” IEEE 41st International Conference on Plasma Sciences (ICOPS) held with 2014 IEEE International Conference on High-Power Particle Beams (BEAMS), pp. 1-6, 2014.
Semiconductor Components Industries, LLC (SCILLC)—“Switch-Mode Power Supply” Reference Manual, SMPSRM/D, Rev. 4, Apr. 2014, ON Semiconductor, 73 pages.
Sunstone Circuits—“Eagle Harbor Tech Case Study,” date unknown, 4 pages.
Electrical 4 U webpage—“Clamping Circuit,” Aug. 29, 2018, 1 page.
Kyung Chae Yang et al., A study on the etching characteristics of magnetic tunneling junction materials using DC pulse-biased inductively coupled plasmas, Japanese Journal of Applied Physics, vol. 54, 01AE01, Oct. 29, 2014, 6 pages.
Richard Barnett et al. A New Plasma Source for Next Generation MEMS Deep Si Etching: Minimal Tilt, Improved Profile Uniformity and Higher Etch Rates, SPP Process Technology Systems. 2010.
S.B. Wang et al. “Ion Bombardment Energy and SiO 2/Si Fluorocarbon Plasma Etch Selectivity”, Journal of Vacuum Science & Technology A 19, 2425 (2001).
Zhen-hua Bi et al., A brief review of dual-frequency capacitively coupled discharges, Current Applied Physics, vol. 11, Issue 5, Supplement, 2011, pp. S2-S8.
Chang, Bingdong, “Oblique angled plasma etching for 3D silicon structures with wiggling geometries” 31(8), [085301]. https://doi.org/10.1088/1361-6528/ab53fb. DTU Library. 2019.
Michael A. Lieberman, “A short course of the principles of plasma discharges and materials processing”, Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA 94720.
Dr. Steve Sirard, “Introduction to Plasma Etching”, Lam Research Corporation. 64 pages.
Zhuoxing Luo, B.S., M.S, “RF Plasma Etching With a DC Bias” A Dissertation in Physics. Dec. 1994.
Michael A. Lieberman, “Principles of Plasma Discharges and Material Processing”, A Wiley Interscience Publication. 1994.
Yiting Zhang et al. “Investigation of feature orientation and consequences of ion tilting during plasma etching with a three-dimensional feature profile simulator”, Nov. 22, 2016.
Lin, Jianliang, et al.,—“Diamond like carbon films deposited by HiPIMS using oscillatory voltage pulses,” Surface & Coatings Technology 258, 2014, published by Elsevier B.V., pp. 1212-1222.
Eagle Harbor Technologies presentation by Dr. Kenneth E. Miller—“The EHT Long Pulse Integrator Program,” ITPA Diagnostic Meeting, General Atomics, Jun. 4-7, 2013, 18 pages.
PCT International Search Report and Written Opinion dated Nov. 9, 2018, for International Application No. PCT/US2018/043032.
Taiwan Office Action for Application No. 107125613 dated Dec. 24, 2020, 16 pages.
PCT International Search Report and Written Opinion dated Nov. 7, 2018, for International Application No. PCT/US2018/042965.
International Search Report and Written Opinion for PCT/US2019/052067 dated Jan. 21, 2020.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2019/048392; dated Dec. 16, 2019; 13 pages.
PCT International Search Report and Written Opinion dated Nov. 7, 2018, for International Application No. PCT/US2018/042961.
PCT International Search Report and Written Opinion dated Nov. 7, 2018, for International Application No. PCT/US2018/042956.
U.S. Appl. No. 62/433,204; entitled Creating Arbitrarily-Shaped Ion Energy Distribution Function (IEDF) Using Shaped-Pulse (EV) Bias; by Leonid Dorf, et al.; filed Dec. 16, 2016; 22 total pages.
U.S. Appl. No. 15/424,405; entitled System for Tunable Workpiece Biasing in a Plasma Reactor; by Travis Koh, et al.; filed Feb. 3, 2017; 29 total pages.
U.S. Appl. No. 15/618,082; entitled Systems and Methods for Controlling a Voltage Waveform at a Substrate During Plasma Processing; by Leonid Dorf, et al.; filed Jun. 8, 2017; 35 total pages.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2018/046171; dated Nov. 28, 2018; 10 total pages.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2018/046182; dated Nov. 30, 2018; 10 total pages.
PCT/US2020/014453 Interanational Search Report and Written Opinion dated May 14, 2020 consists of 8 pages.
Korean Office Action for 10-2020-7007495 dated Jun. 14, 2021.
Taiwan Office Action for 108132682 dated Mar. 24, 2022.
Related Publications (1)
Number Date Country
20220367158 A1 Nov 2022 US