Charged-particle source and method for cleaning a charged-particle source using back-sputtering

Information

  • Patent Grant
  • 10840054
  • Patent Number
    10,840,054
  • Date Filed
    Monday, January 28, 2019
    5 years ago
  • Date Issued
    Tuesday, November 17, 2020
    3 years ago
Abstract
A charged-particle source for emission of electrons or other electrically charged particles comprises, located between the emitter electrode having an emitter surface and a counter electrode, at least two adjustment electrodes; a pressure regulator device is configured to control the gas pressure in the source space at a pre-defined pressure value. In a first cleaning mode of the particle source, applying a voltage between the emitter and counter electrodes directs gas particles towards the counter electrode, generating secondary electrons which ionize particles of the gas in the source space, and electrostatic potentials are applied to at least some of the adjustment electrodes, generating an electric field directing the ionized gas particles onto the emitter surface.
Description
FIELD OF THE INVENTION

The present invention relates to a charged-particle source which is suitable for use in, or as part of charged-particle nano-pattering or inspection tools, in particular of the multi-beam type. More specifically, the invention relates to a source for emission of electrically charged particles, in particular electrons, as a charged-particle beam along a direction of emission, with the charged-particle source comprising

    • a housing connectable to a vacuum system, allowing the charged-particle source being operated at a high vacuum,
    • an emitter electrode (i.e., an emitter anode or cathode, depending on whether the charged particles carry positive or negative electric charge, respectively), which emitter electrode has an emitter surface configured to emit charged particles of a specific particle species (such as electrons, but alternatively also ions are possible, in particular ions carrying a positive electric charge) along the direction of emission,
    • a counter electrode configured to being applied an electrostatic voltage with respect to the emitter electrode at a sign opposite to that of the electrically charged particles, the counter electrode having an emitter aperture at a location downstream of the emitter surface along said direction of emission, and
    • a space defined between the emitter surface and the emitter aperture, which space is referred to as source space.


BACKGROUND OF THE INVENTION

Charged particle sources are used, for instance, as sources to generate a particle beam in a charged-particle multi-beam tool for nano-pattering or inspecting semiconductor substrates. FIG. 2 shows a schematic view of a typical multi-beam writer and its main components 2. Lithographic apparatuses of this type are well known in prior art, such as U.S. Pat. No. 6,768,125, EP 2 187 427 A1 (=U.S. Pat. No. 8,222,621) and EP 2 363 875 A1 (=U.S. Pat. No. 8,378,320) of the applicant. In the following, only those details are given as needed to disclose certain embodiments of the invention such that one of ordinary skill in the art can practice the various embodiments of the invention; for the sake of clarity, the components are not shown to size in FIG. 2. The main components of the lithography apparatus 1 are—corresponding to the direction of the lithography beam 50, 50b which in this example runs vertically downward in FIG. 2—an illumination system 3, a pattern definition (PD) system 4, a projecting system 5, and a target station 6 with the substrate 16. The whole apparatus 1 is contained in a vacuum housing 2 and generally, but not exclusively, held at high vacuum to ensure an unimpeded propagation of the beam 50, 50b along the optical axis cx of the apparatus. The term ‘high vacuum’ is used herein to denote a vacuum at a pressure below 0.1·10−3 Pa. It has to be underlined that, although the housing 2 is kept at high vacuum, residual gas particles remain and consequently they weakly interact with the beam 50, 50b and/or with the apparatus 1 components. The charged-particle optical systems 3, 5 are realized using electrostatic and/or magnetic lenses.


The illumination system 3 comprises, for instance, the electron source as the one subject of this invention 7, an extraction system 8 as well as a condenser lens system 9. It should, however, be noted that in place of electrons, in general, other electrically charged particles can be used as well. Apart from electrons these can be, for instance, hydrogen ions or heavier ions, charged atom clusters, or charged molecules.


The extraction system 8 accelerates the particles to a defined energy of typically several keV, e.g. 5 keV. By means of a condenser lens system 9, the particles emitted from the source 7 are generally, but not exclusively, formed into a wide particle beam serving as lithography beam 50. The lithography beam 50 then irradiates a PD system 4 which comprises a number of plates with a plurality of openings or apertures 24 (FIG. 3). The PD system 4 is held at a specific position in the path of the lithography beam 50, which thus irradiates the plurality of apertures and is split up into a number of beamlets.


Referring to FIG. 3, some of the apertures 26 of the PD system 4 are “switched on” or “open” so as to be transparent to the incident beam in the sense that they allow the portion of the beam (beamlets 51) that is transmitted through it to reach the target; the other apertures are “switched off” or “closed”, i.e. the corresponding beamlets 52 cannot reach the target, and thus effectively these apertures and/or openings are non-transparent (opaque) to the beam. Thus, the lithography beam 50 is structured into a patterned beam 50b (composed of beamlets 51 and 52), emerging from the PD system 4. The pattern of switched on apertures—the only portions of the PD system 4 which are transparent to the lithography beam 50—is chosen according to a pattern which is to be exposed on the target 16. It has to be noted that the “switching on/off” of the beamlets usually is realized by some sort of deflection means provided in one of the plates of the PD system 4: “Switched off”-beamlets are deflected off their path (by very small angles) so they cannot reach the target but are merely absorbed somewhere in the lithography apparatus, e.g. by an absorbing plate (or, equivalently termed “stopping aperture plate”) 11. Further details about structure and function of the PD system 4 (FIG. 3) can be found in U.S. Pat. Nos. 9,653,263 and 9,799,487 of the applicant, which documents are herewith included by reference.


The pattern as represented by the patterned beam 50b is then projected by means of an electro-magneto-optical projection system 5 onto the substrate 16 where it forms an image of the “switched-on” apertures and/or openings. The projection system 5 comprises a number of consecutive electro-magneto-optical lens components 10a, 10b, 10c and implements a demagnification of, for instance, 200:1 with two crossovers c1 and c2. Furthermore, deflection means 12a, 12b and 12c may be provided in the condenser 3 and/or projection system 5 for lateral shifting the image. The target or “substrate” 16 is, for instance, a 6″ mask blank or a silicon wafer covered with a particle sensitive resist layer 17. The substrate is held by a chuck 15 and positioned by a substrate stage 14 of the target station 6.


The operation of lithography apparatus such as the apparatus 1 and related processing and writing methods are discussed, e.g., in U.S. Pat. No. 9,053,906 of the applicant as well as the mentioned documents U.S. Pat. Nos. 6,768,125, 8,222,621 and 8,378,320 of the applicant; the disclosure of these documents is herewith included by reference.


The dose rate of each beamlet reaching the resist layer 17 is ultimately linked to the local current density of the lithography beam generated by the charged particle source, which is basically a radial function of the distance from the optical axis; but in general, the current density may also exhibit position-dependent components which arise from source emission inhomogeneity. The latter is determined by factors such as: contaminations on the cathode surface, residual gasses present in the housing 2 and many others. It will be clear to the skilled person that removal of contaminants from the source cathode surface is of crucial importance for efficiency and long term reliability of the apparatus.


It is common practice to remove contaminants from a surface by a cleaning process which employs slightly eroding the surface by means of sputtering or etching (including, in particular, chemical plasma etching). However, in case of a cathode to be installed in an particle-beam processing apparatus (such as eMET), the cathode will be available to be cleaned/sputtered only before being mounted into the apparatus. Thus, the cleaning procedure as known from the state of the art would not be effective against contamination factors accumulated during mounting or during operation.


SUMMARY OF THE INVENTION

In view of the above, it is one object of this invention to provide a particle source and a method for cleaning a particle source which enables cleaning of the particle source directly in the source environment, thus providing ‘in situ’ cleaning of the cathode (emitter electrode) of the source without disassembling the optical system. That is, without physically removing the source body from the rest of the eMET apparatus. The cleaning mechanism is mainly envisaged to employ sputtering or etching. It is a further objective of the invention to provide a particle source and cleaning method that enables controlling the trajectories of charged particles present in the source environment.


The above-mentioned objectives are met by a charged-particle source which, in addition to the components mentioned in the beginning, comprises

    • at least two adjustment electrodes, located surrounding the source space between the emitter electrode and the counter electrode, each adjustment electrode being configured to being applied a controlled electrostatic voltage with respect to the counter electrode or the emitter electrode (depending on which is chosen as reference point), and
    • a pressure regulator device, said pressure regulator device being configured to control the pressure of gas in the source space,


      wherein the particle source is not only operable in an emission mode wherein a voltage is applied between the emitter and counter electrodes, causing generation of charged particles leaving the particle source along the direction of emission, but also in a first cleaning mode, where a gas is held at a pre-defined pressure value within the source space, while applying a voltage between the emitter and counter electrodes, wherein secondary electrons generated by charged particles at the counter electrode ionize particles of said gas fed into the source space, producing ionized gas particles, and electrostatic potentials are applied to at least some of the adjustment electrodes, generating an electric field directing these ionized gas particles onto the emitter surface. The gas present in the source space may be, for instance, gas supplied from a gas source (or a number of gas sources), possibly under control of the pressure regulator device (e.g. including MFCs or other suitable metering devices), or may be gas from the atmosphere, including residual gas.


In other words, a cleaning method which corresponds to said first cleaning mode comprises

    • regulating the pressure of gas within the source space of the charged-particle source (as mentioned, the gas may be atmospheric gas or may be provided from some gas source, possibly fed in through the pressure regulator device), and applying a voltage between the emitter electrode and a counter electrode, wherein secondary electrons generated by charged particles at said counter electrode ionize particles of said gas in the source space, producing ionized gas particles, and
    • applying electrostatic potentials to adjustment electrodes located between the emitter surface and the counter electrode, generating an electric field directing said ionized gas particles onto the emitter surface.


This solution offers an efficient implementation of cleaning in a charged-particle source. It also provides an efficient tool for generating, extracting and/or controlling the trajectory of the charged particles present in the source environment. The ionized gas particles are directed onto the emitter surface for removing material from the surface, for instance by sputtering (back-sputtering) and/or etching effects. In a cleaning mode, the ionization of the gas species in the source space is partially or entirely decoupled from the primary beam radiation as produced by the emitter surface. In the mentioned first cleaning mode (also referred to as ‘soft cleaning mode’), the ionization is enhanced by secondary electrons emitted at the counter electrodes and possibly other components surrounding the source space (such as the adjustment electrodes discussed further below) as a consequence of being hit by the particles of the primary beam radiation.


Thus, the invention offers a larger degree of freedom concerning the parameters of operation of the source during a cleaning process, and allows to better adjust the energy of the ionizing radiation so as to achieve a desired cleaning result, the preferential location within the source space where the ionization takes place and ultimately the ionization rate of the gas species present in the source space to operating parameters suitable to achieve efficient cleaning of the emitter surface. Thus, controlling the sputtering rate at the emitter surface is improved. The ionization rate can be adjusted based on, e.g., the binary-encounter dipole model presented in the article “Binary-encounter-dipole model for electron-impact ionization” of Y.-K. Kim & M. E. Rudd, Phys. Rev. A 50, 3954 (1994). The source setup of the invention allows an improved control of trajectories of the charged particle present in the source space, including those species which are unintentionally present, such as residual gas from the environment.


According to a preferred development of the invention, the charged-particle source further comprises a gas ionizer device, said gas ionizer device being configured to irradiate energetic radiation into the source space, which energetic radiation is capable of ionizing particles of said gas, which is present in and/or fed into the source space, to obtain a plasma (wherein a weakly ionized plasma, i.e. having a degree of ionization of e.g. at least 1%, is sufficient), the particle source being operable in a second cleaning mode wherein a voltage is applied between selected ones of the adjustment electrodes while operating the gas ionizer device to generate a plasma in the gas within the source space and direct such plasma onto the emitter surface.


In other words, a cleaning method which corresponds to this second cleaning mode comprises

    • regulating the pressure of gas within the source space, possibly including feeding a gas into the source space of the charged-particle source,
    • irradiating energetic radiation (e.g. electrons) into the source space, preferably along a direction perpendicular to the direction of emission, which energetic radiation is capable of ionizing particles of said gas in the source space to obtain a plasma, and
    • applying electrostatic potentials to adjustment electrodes located between the emitter electrode and the counter electrode, generating an electric field directing said plasma onto the emitter surface of the emitter electrode.


With the second cleaning mode another very efficient cleaning process in a charged-particle source is provided. The plasma is directed onto the emitter surface for removing material from the surface, for instance by sputtering and/or etching effects. Also in this cleaning mode the ionization of the gas species in the source space is decoupled from the primary beam radiation as produced by the emitter surface.


In particular, the energetic radiation may comprise electrons, and the gas ionizer device is an electron gun configured to inject said electrons into the source space, for instance in a direction transversal to the direction of emission. In a specific advantageous embodiment, the gas ionizer device may be realized as a hollow-cathode electron gun, configured to irradiate the energetic radiation (such as electrons) into the source space along a direction transversal to the direction of emission.


Furthermore, in many advantageous embodiments of the invention the pressure regulator device itself may feed the gas into the source space. Thus, the pressure regulator device may be able to feed, into the source space, one or more gas species destined to be ionized during an operation in the first cleaning mode (and, if applicable, the second cleaning mode as well), preferably and in particular while a voltage between the emitter and counter electrodes is applied during a cleaning mode operation. Alternatively or in combination, the pressure regulator device may be configured to supply at least one gas species into the source space at a pre-defined pressure of the gas species thus injected.


The number of adjustment electrodes which can be applied different electrostatic potentials with respect to the emitter electrode may be chosen suitably; i.e. typically this number lies between two and five, preferably four.


In order to further improve the cleaning processes and enable a better control of the locations to be cleaned, it may be advantageous when at least two of the adjustment electrodes are realized as split electrodes, each of said split electrodes being composed of at least two, preferably four, sectorial electrodes, which sectorial electrodes are configured to be applied different electrostatic potentials. In addition, these split electrodes may be used during a cleaning mode for directing ionized particles to specific regions on the emitter surface. Alternatively or in combination, the split electrodes may be configured to tune the intensity of ionized particles impinging on the emitter surface by means of the electrostatic potentials of the counter electrode and the adjustment electrodes including the split electrodes.


In order to achieve another improvement of the cleaning process, in particular a raised homogeneity, it may be useful to vary the voltages of the adjustment electrodes involved over time. This may be done in a step-wise manner, wherein for at each step a voltage of the adjustment electrodes is maintained for a respective duration. The voltage levels and pertinent durations are chosen suitably so as to achieve a desired profile of cleaning action.


The charged-particle source of the invention may further comprise a control electrode of Wehnelt type; this Wehnelt electrode would be located between the emitter electrode and the adjustment electrodes, preferably closer to the emitter electrode, said control electrode configured to being applied a control voltage with regard to the emitter electrode opposite to that of the counter electrode with regard to the emitter electrode, and having a control aperture at a location downstream of the emitter electrode along said direction of emission.


The charged-particle source of the invention is of particular benefit for use in a charged-particle multi-beam apparatus for processing or inspection of a target by means of exposure with a beam of electrically charged particles, comprising an illumination system including the charged-particle source according to the invention, a pattern definition device and a projection optics system.





BRIEF DESCRIPTION OF THE DRAWINGS

In the following, in order to further demonstrate the present invention, a discussion of illustrative and non-restrictive embodiments, as shown in the drawings, is presented. The drawings show:



FIG. 1 a charged-particle source according to a first preferred embodiment of the invention;



FIG. 1a a detail of the gas ionizer device of the source of FIG. 1;



FIG. 2 shows a lithographic system of state of the art in a longitudinal sectional view in which the source of FIG. 1 can be suitably incorporated;



FIG. 3 shows a pattern definition system of state of the art in a longitudinal section;



FIGS. 4a and 4b show one split electrode used in the charged-particle source of FIG. 1 in a perspective view (FIG. 4a) and a top view (FIG. 4b);



FIG. 5 illustrates operation of the source of FIG. 1 according to an emission mode to emit a charged-particle beam;



FIG. 6 illustrates operation of the source of FIG. 1 according to a first cleaning mode;



FIG. 7 illustrates operation of the source of FIG. 1 according to a second cleaning mode;



FIG. 8 illustrates a variant of the second cleaning mode with focusing the ions onto the emitter surface of the source;



FIG. 9 illustrates a variant of the second cleaning mode with deflecting the ions over the emitter surface of the source; and



FIG. 10 shows ion landing distributions at the emitter surface for different voltages applied to the adjustment electrodes;



FIG. 11 shows ion landing distributions at the emitter surface generated by time-dependent application of different voltages;



FIG. 12 shows ion landing distributions at the emitter surface for different focusing states with an adjustment electrode voltage of −2000 V; and



FIG. 13a illustrates a quadrupole configuration of the sub-electrodes during a second cleaning mode operation with defocused beam; and



FIG. 13b illustrates a dipole field configuration of the sub-electrodes during a second cleaning mode operation to achieve a lateral shift of the beam.





DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION

The detailed discussion of exemplary embodiments of the invention given below discloses the basic concepts and further advantageous developments of the invention. The embodiments refer to an electron source, but also elucidate methods using this source to generate charged particle beams with uniform current density and to condition the cathode surface by means of physical sputtering and/or chemical etching during a maintenance procedure. It will be evident to the person skilled in the art to freely combine several or all of the embodiments discussed here as deemed suitable for a specific application of the invention. Throughout this disclosure, terms like “for instance”, “advantageous”, “exemplary” or “preferred” indicate elements or dimensions which are particularly suitable (but not essential) to the invention or an embodiment thereof, and may be modified wherever deemed suitable by the skilled person, except where expressly required. It will be appreciated that the invention is not restricted to the embodiments discussed in the following, which are given for exemplary, illustrative purpose and merely present suitable implementations of the invention.


The applicant has realized charged-particle multi-beam tools and developed corresponding charged-particle optics, pattern definition (PD) device, and multi-beam writing method, in particular a 50 keV electron multi-beam writer to realize leading-edge complex photomasks for 193 nm immersion lithography, of masks for EUV lithography and of templates (1× masks) for nanoimprint lithography. The system is called eMET (electron Mask Exposure Tool) or MBMW (multi-beam mask writer) for exposing 6″ mask blank substrates. The multi-beam system has been called PML2 (Projection Mask-Less Lithography) for electron beam direct writer (EBDW) applications on Silicon wafer substrates. The multi-beam column and writing method can also be used for multi-beam inspection applications.



FIG. 1 shows a schematic representation of a charged particle source, more specifically an electron source, 100 according to one preferred embodiment of the invention in a longitudinal section along a central axis of the source, which coincides with the direction of emission e.


The electron source 100 comprises a cathode 101 having an emitter surface 111 which is configured for the emission of electrons along the mentioned direction of emission e, as well as a Wehnelt cylinder 102 and an anode 103 serving as counter electrode with an aperture 113 at the location where the direction of emission e passes through the anode. Suitable layouts of the cathode 101, Wehnelt 102 and aperture anode 103 are well-known to the skilled person. The source is located in a housing 120, which enables the source to be connected to the housing 2 of the apparatus 1, and which is connected to a vacuum pumping system 121 of its own, and/or is held at vacuum through the vacuum system of the apparatus 1. The electric potentials applied to the electrodes of the source 100 are provided by a voltage supply unit 157; the electric lines of the electrodes are generally understood and not shown for better clarity of the drawings.


Furthermore, in order to enable the in-situ cleaning according to the invention, the electron source 100 is provided with a pressure regulator device 104, also referred to as atmosphere regulator (AR), and several adjustment electrodes 106, 107, 108, 109; in addition, a device 105 for ionizing the gas, referred to as gas ionizer (GI) may be provided.


In the embodiment shown, the AR 104 includes a gas supply 140 for one or more gas species, one or more mass flow controllers (MFCs) 141, 142, a vacuum pumping system 143 (which preferably is separate from the vacuum pumping system 121), and a gas pressure sensor 144, and feeds the gases into the source chamber through a gas feed line 145 and the GI 105. The aperture 148 of the gas pressure sensor 144 in the source housing 120 (which is preferably well separated from the pumping connection of the vacuum pumping system 143 to the housing 120) is advantageously located such that it allows adequate measurement of the pressure in the source space 110, preferably in the region close to the emitter surface 111; for instance, the aperture 148 may be positioned just outside the source space 110 between the cathode 101 and next electrode in the source space, such as the first adjustment electrode 106. The MFCs 141, 142 control the amount of gases provided from the gas supply 140 to the source space. The AR 104 serves to regulate the pressure in the source space and inject, in a controlled manner, one or more gas species into the source chamber. Thus, the AR 104 enables introducing and regulating an atmosphere into the space of the charge-particle source between the anode and cathode. The gas supply 140 may provide specific gases supplied from resources such as gas bottles, and/or gases obtained from the surrounding, such as atmospheric gases (air). In other embodiments (not shown), the AR may not have a gas supply of its own, in which case the AR operates with atmospheric gases or residual gases from a preceding operation of the source, which gases are controlled at a desired pressure, for instance by means of the mentioned vacuum pumping system.


Referring to FIG. 1a, the GI 105 is configured to ionize the gas injected by the AR (via one or more MFCs 141 and the gas feed line 145), thus generating a plasma which can be accelerated by the electrodes of the source 100. For example, but not necessarily, the GI may be a hollow cathode electron gun additionally mounted in the source apparatus, with its orientation of the electrons emitted substantially perpendicularly to the axis e of the electron source. In the embodiment shown the GI 105 comprises a hollow cathode 151 and a ring anode 152, which are disposed at either end of an insulator tube 153; a plasma 154 resulting from the discharge within the tube 153 is allowed to leave the GI 105 through the opening 155 of the ring anode into the source space of the source chamber. The GI 105 further comprises a collector electrode 156 positioned at a location opposite to the opening 155 with respect to the axis e. The voltage of the collector electrode 156 is, e.g., about 500 V with respect to the ring anode 152, with its exact value being tunable to desired process parameters. The GI 105 is positioned such that the gases fed in through the GI 105 enter the source space 110 at a location at a distance from the cathode 101, for instance in the region between the adjustment electrodes, preferably between the two last adjustment electrodes 108 and 109.


Some or all of the MFCs 142 of the AR 104 (in particular in the case where the GI 105 is omitted) may be connected to the interior of the source bypassing the GI, for instance through an outlet 147. The one or more MFCs 142 of this “second” type may be used to supply gas in order to offer an additional adjustable flow of gas into the source space. The outlet 147 may be arranged at location convenient to ensure a suitable gas configuration in the source space 110, such as between two of the adjustment electrodes 106-109. In the embodiment shown, the outlet 147 is located before the electrodes where the GI 105 is positioned, more particularly between electrodes 107 and 108.


As mentioned, the charged-particle source 100 comprises a number of (in particular, at least two) adjustment electrodes 106, 107, 108, 109. The adjustment electrodes are, for instance, realized as conductive plates, separated by dielectric gaps. The electrodes of the source 100 are electrically connected to a voltage supply unit 112 which provides the electrostatic potentials needed for the individual electrodes; for the sake of clarity of the drawings the electric connecting lines from the voltage supply unit 112 to the plurality of electrodes in the source 100 are not shown.


Furthermore, in order to modify and/or control the trajectories of the different types of charged particles, some of the electrodes 106-109 are realized as split electrodes, which are composed of two or more sectorial sub-electrodes separated by dielectric gaps.



FIGS. 4a and 4b illustrate an exemplary layout of a split electrode 60 which is divided into four sub-electrodes 61, 62, 63, 64. The sub-electrodes of one respective split electrode are, preferably, plates of same sector-like shape while being located at different azimuthal ranges within the same axial position with regard to the axis e. The sub-electrodes 61-64 combine into an overall ring-shaped split electrode 60, joined by isolating gap spacers 65 made of a suitable dielectric material. Thus, the split electrodes allow the implementation of a ‘multipole’ mode, in addition to a ‘monopole’ regime. A monopole regime is here defined as the condition in which all the plates of one electrode share the same electrical potential, whereas in a multipole regime the plates will generally be applied different electrical potentials. The sub-electrodes are connected to the voltage supply so they can be electrically biased with individual electrostatic potentials; where appropriate they may be biased in couples or to a common electrical potential. The number of plates that compose a split electrode may vary as appropriate with regard to the requirements imposed on the source 100 and the processing apparatus 1; typical suitable values are two to eight. It is emphasized that the voltage supply unit 112 is a high voltage source designed such that it can apply different electric biases at the various sub-electrodes 61-64 of the split electrodes 106-109, the cathode/anode 101, 103 and the Wehnelt cylinder 102. Thus, the split electrodes 106-109 are capable of operating as more complex entities which, in general, can generate electrical fields not following a rotational symmetry respect to the axis e, such as quadrupole lenses or electrostatic deflectors.


In the embodiment shown, the electrodes are substantially ring-shaped; in other realizations, the shape of the electrodes may be more complex. Examples of suitable dimensions are as follows: The inner radius of the adjustment electrodes may be within a range from 0.5 mm up to 1.0 mm. The physical dimensions of each electrode along the axis e is chosen within a range from 0.5 mm to 3 mm. The spacing between electrodes is set at a value between 1 mm up to 2 mm. The dimensions may be the same for all electrodes or vary for each electrode. Depending on the number of electrodes and dimension of the electrodes, the length of the overall source space may range from 4 mm to 37 mm. The sub-electrodes are preferentially composed by a conductive material such as titanium, molybdenum or 316L stainless steel, whereas the dielectrics gaps are in a range from 0.1 mm to 0.5 mm; the dielectrics gaps may be realized as (“empty”) spaces or be filled with machined Macor, Al2O3 or other ceramics.


In the following various operating conditions (also referred to as ‘operation modes’) of the electron source 100 are described. An operation mode is generally specified by parameters including: the average pressure and atmosphere composition in the source, the electrical potential applied to individual components of the source. Unless explicitly specified otherwise, voltage values of electrodes are understood as potential differences with respect to the potential of the anode 103 serving as counter electrode; this potential is also referred to as ground potential. The term “bias” of a component is understood in this sense as referring to an electric potential applied to the respective component relative to the anode.



FIG. 5 illustrates one main operation mode of the source 100, called ‘emission mode’. In this mode, the source generates wide electron radiation which comes out from the emitter surface 111 of the cathode 101 with a given kinetic energy (as defined by the voltage between cathode and anode) and moving along the direction of emission e. Here, a high negative bias is supplied to the cathode 101 by the power supply unit 157. More specifically, in accordance with general state of the art, electron radiation 158 is generated at the cathode 101 by means of thermionic emission, field emission or related mechanisms exploiting the Shottky-effect, and focused through the Wehnelt cylinder 102. Typical values of the acceleration voltage at which the cathode is biased are in the range from 0.1 to 50 kV. In addition, the split electrodes 106-109 are biased relative to the cathode in order to provide the extraction potential for the negatively charged electrons, adjust the beam kinetic energy to the desired value, provide additional collimation and finally direct the electrons towards the aperture. The split electrodes are operated as monopoles in this operation mode. The aperture 113 of the counter electrode 103 cuts off the undesired part of the radiation 158. The emission mode can be used, for example, during processing in an electron multi-beam processing apparatus. During emission mode only negatively charged particles, namely electrons, are intentionally generated in this embodiment of the source according to the invention. The chamber is kept at a constant pressure of around 1.0·10−7 Pa by the atmosphere regulator unit.



FIG. 6 illustrates another operation mode, which is herein referred to as first cleaning mode or also ‘soft cleaning mode’. This mode is operated to generate and direct towards the cathode positively charged ion particles generated by controlled interaction with the radiation emitted by the cathode. A gas of specific chemistry is introduced in the chamber through the AR 104, meanwhile the pressure in the chamber is kept at a pre-determined value, for example, at a value around 8.0·10−4 Pa. The gas chemistry may be composed of a single gas species, such as argon (Ar), or of two or more gas species such as Ar and fluorine (F), depending on the type of treatment required on the emitter surface 111 of the cathode 101. For instance, fluorine may be supplied in the form of a suitable precursor such as sulfur hexafluoride (SF6); depending on the cleaning process needed, other suitable gas species such as xenon (Xe) may be used, or a gas mixture, such as a hydrogen/nitrogen mixture (forming gas). Two of the split electrodes, for instance the electrodes 108 and 109 farthest from the cathode 101 and Wehnelt 102, operate in monopole mode and, as such, define the main ionization area of the gas mixture and give the conditions for charge separation. As an example, the electrodes 108 and 109 can be operated, in general, within a range between zero (i.e., ground potential) and the modulus (i.e., absolute value) of the potential of the cathode 101. Furthermore, the electrodes 106, 107 provide the function of extraction of the radiation from the cathode and shaping of the charged particles traveling toward the cathode. Thus, in a typical scenario 106 is at ground potential (i.e., that of electrode 103) and the electrode 107 may be operated at a potential chosen in the range spanning from minus to plus modulus of the potential of the cathode 101. Further examples are given below in relation to FIGS. 10-12.


It will be evident to those skilled in the art that the width and the position of the main ionization area relative to the split electrode plates can be adjusted by varying the biases applied to the split electrodes themselves. Here the cathode, biased as described in the emission mode, will radiate electrons 168 which partially ionize the gas mixture introduced in the source chamber in a region around the axis e, referred to as ionization area and indicated in the drawings as a dot-hatched area 160. Nevertheless, the ionization rate of the gas mixture molecules—as caused by the electrons 168, which are travelling substantially along the direction of emission e,—is rather low due to the high energy of the electrons (e.g. 5 keV) (for an explanation, see e.g. Kim & Rudd op.cit.). Thus, the gas mixture ionization rate in the ionization area 160 can be enhanced via secondary electrons 169 emitted from the source components (e.g. electrodes and other metal parts) if the latter are hit by the beam of primary electrons 168 coming from the cathode. Thus, the remaining split electrodes can operate in multipole mode, e.g. forming a basic quadrupole electrostatic lens or electrostatic dipoles in order to shape and direct the electron radiation so that it hits in a predefined position the inner wall of the source chamber generating secondary electrons and enhancing the ionization rate. The ionization area and the secondary electrons source position are defined so that ionized gas mixture molecules 161 will be accelerated back to the emitter surface 111 of the cathode 101 along the axis e. Advantageously, one or several of the split electrodes 106-109 can be electrostatically biased in monopole mode to act as additional focusing elements for the ions travelling towards the source and as such modify the ion landing distribution.


An example of a soft cleaning mode is as follows: The cathode 101 is set to −5 kV with respect to the counter electrode 103, and the electrodes 108 and 109 are set to ground potential and +2.5 kV, respectively, in order to define the main gas ionization area 160 in the space between the positions of electrodes 108 and 109. Then, the electrode 106 is set to ground potential, and the electrode 107 can be used as focusing/defocusing electrode for the charged particles 161 moving towards the emitter surface 111 along the axis e.



FIG. 10 depicts landing (density) distributions of the ions at the emitter surface position along the axis e as a function of the cathode radius (distance from the axis e) for three different values of the voltage of the second split electrode 107 as specified in the insert of FIG. 10; the landing distributions shown are results obtained from numerical simulations, normalized to the maximum value of the respective landing distribution. The positional range of the emitter surface 111 is denoted by the double arrow W. It is clear from FIG. 10 that, when the voltage applied to electrode 107 is varied from −0.5 kV to −2 kV, the ion landing (density) distribution and thus the intensity of the ion treatment goes from a distribution which is high at the border of the emitter surface towards a distribution peaked in the center. This demonstrates that the voltage of the adjustment electrodes enables to adjust the location of an ion treatment such that it can be applied to periphery, mid radius and center of the emitter surface. The ions generated are, by virtue of their positive electric charge, directed towards the cathode by the electric potential difference between the split electrodes.


By means of sputtering and or chemical etching, the impinging ions clean the surface of the cathode. The soft cleaning mode is advantageous in applications where the source is part of, for example, an electron multi-beam processing apparatus, and may be used as a conditioning procedure or during maintenance of the latter. The overall low ion density in the chamber leads to a slow sputtering rate of less than 1 A/s. Such slow sputter rate is advantageously adopted, e.g., in a case where a regeneration of the cathode is needed, but a large erosion of the latter is not desired, thus necessitating fine control of the sputtering procedure.


In a further variant, and with reference to FIG. 11, the cleaning method may be further improved by varying the voltage of the adjustment electrodes step-wise over time, choosing the time steps suitably so that the generated electric field can be considered as static for all the charged particle present in the source space within the said time step. Such step-wise variation of the voltage over time allows provides for homogenizing the effect of cleaning across the emitter area, by averaging out the varying local intensity of each individual fixed voltage setting.



FIG. 11 shows a number of time-weighted ion landing profiles (dotted curves), which were obtained using six different electric potential configurations applied at electrode 107 (i.e. 0.25 kV, 0.5 kV, 0.75 kV, 1.00 kV, 1.25 kV, 1.75 kV) for varying durations, namely, 350 s, 300 s, 100 s, 100 s, 110 s, 75 s, respectively, as well as a cumulative ion landing profile (solid curve) corresponding to the sum of the time-weighted profiles. In all profiles, the cathode 101 is set to −5 kV with respect to the counter electrode 103, the electrode 109 is set to +2.5 kV, and electrodes 106, 107, 108 are fixed to ground potential. The vertical scale of the profile curves is normalized to the maximum of the cumulative profile. As can be seen easily from FIG. 11 the cumulative profile attains a plateau-like maximum m over a large portion of the emitter surface (indicated by double arrow W). A treatment of the kind illustrated in FIG. 11 will lead to an erosion of the crystal surface of maximum 100 nm, taking into account a maximum sputtering rate of 1 A/s. Thus, in this example, within 1035 s, i.e., approx. 17 minutes, of treatment the first contaminated layer is cleaned/removed without large erosion of the bulk of the crystal.



FIG. 7 illustrates a further operation mode, which herein is referred to as second cleaning mode or also ‘hard cleaning mode’. A controlled flow of gas 175 formed of argon (Ar) or another suitable mixture of gasses is introduced into the chamber by the AR 104 as described above in the context of the first cleaning mode. In this mode, the cathode 101 emits no radiation and the applied electrostatic bias is chosen such as to attract positive charged particles moving parallel to the optical axis of the source to the cathode. The overall configuration is similar to the first cleaning mode, with the main difference being that the gas 175 is solely ionized by means of the GI 105, rather than by secondary electron emission or radiation emitted from the cathode. Electrons are emitted by the GI 105 towards the collector electrode 156 so as to cross a region around the axis e, referred to as the ionization area and indicated in the drawings as a dot-hatched area 150, along paths substantially perpendicularly to the axis e of the source. The electrons thus ionizing the Ar molecules to form an ion flux 171 towards the emitter surface 111. Thus, since the electrons are used for ionization of the ions only, the split electrodes will influence the trajectory of only the latter type of particles, i.e. the ions used for ‘hard cleaning’. The ionizing current (Ie) and the ionizing energy (Ei) emitted by the GI can be adjusted in order to maximize the ionization rate of gas molecules according to methods known in the state of art, e.g. see Kim & Rudd op.cit. In general, the ion density is adjusted to obtain a sputtering rate which is up to 10-20 times higher than that in the soft cleaning mode. Further details of how to adjust Ie/Ei and sputtering rate are not included here as part of common knowledge to those skilled in the art and not part of this invention.


While the ions are the only charged particles moving along the direction of emission e in this mode as mentioned, the split electrodes 106-109 may be used to act as lensing apparatus, with the individual electrostatic potentials being chosen in suitable way which allows to direct the ions towards specific desired locations on the emitter surface. This is depicted in FIGS. 8 and 9. The ions 172 generated in the ionization area are accelerated and will travel along the axis e, and they can be focused and defocused on the cathode surface in order to reach a desired sputtering homogeneity, between a flat distribution as shown in FIG. 7 or a focused spot as shown in FIG. 8. Alternatively or in combination, as depicted in FIG. 9, it is possible to deflect the ions from their path along the axis e in order to localize the sputtering operation on a specific particular region of the emitter surface; this is achieved by operating the split electrodes 106, 107 in multipole mode.



FIG. 12 illustrates focusing and shifting of the sputtering spot on the emitter surface 111, whose location is again indicated by the double arrow W. Three exemplary ion landing distributions are shown, which have been obtained by means of numerical simulations considering a cloud of ions starting within the ionization area (cf. FIGS. 8 and 9) and accelerated toward 101 along the axis e; each curve is normalized to the respective maximum value. In this scenario the cathode 101 is biased at −5 kV with respect to the counter electrode 103, but in contrast to the soft cleaning mode the cathode 101 acts as cold cathode (i.e. no charged particle emission). To the split electrodes 109 and 108 is applied the same potential as during the soft sputtering procedure. The three curves shown in FIG. 12 correspond to the following potential configurations applied to the split electrodes 106 and 107 (also here, voltages are with respect to the ground potential of the counter electrode 103):

    • For focused beam (dotted curve): as in the soft cleaning mode the split electrode 106 is at ground potential 0 kV, and a potential of −2 kV is applied to the split electrode 107. To obtain this configuration the sub-electrodes of the split electrodes 106 and 107 share the same potential (as in monopole mode).
    • For defocused beam (full curve): as in the soft cleaning mode the split electrode 106 is at ground potential 0 kV, and a potential of −2 kV is applied to the split electrode 107. In this mode an additional quadrupole field is generated by means of the sub-electrodes 661-664 and 671-674 of the electrodes 106 and 107, respectively. This is illustrated in FIG. 13a, where the plus and minus signs symbolize the voltages applied to realize quadrupole fields, superposed to the overall potentials (0 kV/−2 kV) of each electrode 106, 107, of the order of 10 to 20 V (typical values, suitably chosen depending on the amount of defocus needed). The quadrupole field configuration applied to electrode 106 is rotated by 90° with respect to electrode 107. In other words, an ion-optical correction as described in “Ion optics with electrostatic lenses”, section 8.1, by F. Hinterberg is applied.
    • For shifted beam (dash-dotted curve): as in the soft cleaning mode the split electrode 106 is at ground potential 0 kV, and a potential of −2 kV is applied to the split electrode 107. In this mode an additional dipole field is applied through the sub-electrodes 661-664 and 671-674 of the electrodes 106 and 107, respectively. The electrostatic potential difference between poles (where poles are meant to indicate the plates pairs 661, 664 and 662, 663; and 671, 0674 and 672, 673 in FIG. 13b) is of the order of 10 to 20 V (typical values, conveniently chosen depending on the amount of shift needed), superposed to the overall potentials (0 kV/−2 kV) of each electrode 106, 107. The dipole field configuration applied to electrode 106 is rotated by 180° with respect to electrode 107.


It will be evident to the skilled person that the voltage configurations of the electrodes and their sub-electrodes can be varied to achieve further suitable configurations of cleaning operations at the emitter surface 111.

Claims
  • 1. A charged-particle source configured for emission of electrically charged particles as a charged-particle beam along a direction of emission, said charged-particle source comprising a housing connectable to a vacuum system, allowing the charged-particle source being operated at a high vacuum,an emitter electrode, having an emitter surface configured to emit charged particles of a specific particle species along the direction of emission,a counter electrode configured to have an electrostatic voltage applied with respect to the emitter electrode at a sign opposite to that of the electrically charged particles, the counter electrode having an emitter aperture at a location downstream of the emitter surface along said direction of emission,a source space defined between the emitter surface and the emitter aperture,at least two adjustment electrodes, located surrounding the source space between the emitter electrode and the counter electrode, each adjustment electrode being configured to have a controlled electrostatic voltage applied with respect to the counter and/or emitter electrodes, anda pressure regulator device, said pressure regulator device controls the pressure of a gas present in the source space, wherein the pressure regulator device is configured to feed, into the source space, one or more gas species destined to be ionized during an operation in the first cleaning mode, while a voltage between the emitter and counter electrodes is applied,the particle source being operable in an emission mode wherein a voltage is applied between the emitter and counter electrodes, causing generation of charged particles leaving the particle source along the direction of emission,the particle source being further operable in a first cleaning mode wherein the gas is held at a pre-defined pressure value within the source space, while applying a voltage between the emitter and counter electrodes, wherein secondary electrons generated by charged particles at the counter electrode ionize particles of said gas in the source space, producing ionized gas particles andelectrostatic potentials are applied to at least some of the adjustment electrodes, generating an electric field directing said ionized gas particles onto the emitter surface.
  • 2. The charged-particle source of claim 1, further comprising a gas ionizer device, said gas ionizer device being configured to irradiate energetic radiation into the source space, which energetic radiation is capable of ionizing particles of said gas present in or fed into the source space to obtain a plasma,the particle source being operable in a second cleaning mode wherein the gas ionizer device is operated to generate a plasma in the gas within the source space, while applying a voltage between selected ones of the adjustment electrodes so as to direct said plasma onto the emitter surface.
  • 3. The charged-particle source of claim 2, wherein the energetic radiation comprises electrons, and the gas ionizer device is an electron gun configured to inject said electrons into the source space.
  • 4. The charged-particle source of claim 2, wherein the gas ionizer device is a hollow-cathode electron gun, configured to irradiate the energetic radiation into the source space along a direction transversal to the direction of emission.
  • 5. The charged-particle source of claim 1, wherein the number of adjustment electrodes which can be applied different electrostatic potentials with respect to the emitter electrode is at least two.
  • 6. The charged-particle source of claim 1, wherein at least two of the adjustment electrodes are realized as split electrodes, each of said split electrodes being composed of at least two sectorial electrodes, which sectorial electrodes are configured to be applied different electrostatic potentials.
  • 7. The charged-particle source of claim 6, configured to use said split electrodes during a cleaning mode for directing ionized particles to specific regions on the emitter surface.
  • 8. The charged-particle source of claim 6, configured to tune the intensity of ionized particles impinging on the emitter surface by means of the electrostatic potentials of the counter electrode and the adjustment electrodes including the split electrodes.
  • 9. The charged-particle source of claim 1, further comprising a control electrode of Wehnelt type located between the emitter electrode and the adjustment electrodes said control electrode configured to being applied a control voltage with regard to the emitter electrode opposite to that of the counter electrode with regard to the emitter electrode, and having a control aperture at a location downstream of the emitter electrode along said direction of emission.
  • 10. Charged-particle multi-beam apparatus for processing or inspection of a target by means of exposure with a beam of electrically charged particles, comprising: an illumination system, wherein the illumination system comprises a housing connectable to a vacuum system, allowing the charged-particle source being operated at a high vacuum,an emitter electrode, having an emitter surface configured to emit charged particles of a specific particle species along the direction of emission,a counter electrode configured to have an electrostatic voltage applied with respect to the emitter electrode at a sign opposite to that of the electrically charged particles, the counter electrode having an emitter aperture at a location downstream of the emitter surface along said direction of emission,a source space defined between the emitter surface and the emitter aperture,at least two adjustment electrodes, located surrounding the source space between the emitter electrode and the counter electrode, each adjustment electrode being configured to have a controlled electrostatic voltage applied with respect to the counter and/or emitter electrodes, anda pressure regulator device, said pressure regulator device controls the pressure of a gas present in the source space, wherein the pressure regulator device is configured to feed, into the source space, one or more gas species destined to be ionized during an operation in the first cleaning mode, while a voltage between the emitter and counter electrodes is applied, the particle source being operable in an emission mode wherein a voltage is applied between the emitter and counter electrodes, causing generation of charged particles leaving the particle source along the direction of emission, the particle source being further operable in a first cleaning mode whereinthe gas is held at a pre-defined pressure value within the source space, while applying a voltage between the emitter and counter electrodes, wherein secondary electrons generated by charged particles at the counter electrode ionize particles of said gas in the source space, producing ionized gas particles andelectrostatic potentials are applied to at least some of the adjustment electrodes, generating an electric field directing said ionized gas particles onto the emitter surfac-ea pattern definition device; anda projection optics system.
  • 11. A method for in-situ cleaning of an emitter electrode of a charged-particle source configured for emission of electrically charged particles along a direction of emission by applying a voltage between the emitter electrode and a counter electrode, the method comprising regulating the pressure of gas within the source space located between the emitter and counter electrodes, and applying a voltage between the emitter and counter electrodes, wherein secondary electrons generated by charged particles at said counter electrode ionize particles of said gas in the source space, producing ionized gas particles, andapplying electrostatic potentials to adjustment electrodes located between the emitter surface and the counter electrode, generating an electric field directing said ionized gas particles onto the emitter surface.
  • 12. A method for in-situ cleaning of an emitter electrode of a charged-particle source, the method comprising regulating the pressure of gas within a source space of the charged-particle source,irradiating energetic radiation into the source space along a direction transversal to a direction of emission of the charged-particle source, which energetic radiation is capable of ionizing particles of said gas in the source space to obtain a plasma, andapplying electrostatic potentials to adjustment electrodes located between the emitter electrode and a counter electrode of said emitter electrode, generating an electric field directing said plasma onto an emitter surface of said emitter electrode.
  • 13. The method of claim 11, wherein at least two adjustment electrodes are provided in the space between the emitter and counter electrodes, each of said adjustment electrodes being realized as split electrodes, each of which is composed of at least two, preferably four, sectorial electrodes, which sectorial electrodes are applied different electrostatic potentials, for directing ionized particles to specific regions on the emitter surface.
  • 14. The method of claim 11, wherein the voltages of the adjustment electrodes involved are varied over time in a step-wise manner, wherein for at each step a voltage of the adjustment electrodes is maintained for a respective duration.
  • 15. The charged-particle source of claim 1, wherein the electrically charged particles are electrons.
  • 16. The method of claim 11, wherein the electrically charged particles are electrons.
  • 17. The charged-particle source of claim 1, wherein the number of adjustment electrodes which can be applied different electrostatic potentials with respect to the emitter electrode is up to five.
  • 18. The charged-particle source of claim 1, wherein the number of adjustment electrodes which can be applied different electrostatic potentials with respect to the emitter electrode is four.
  • 19. The charged-particle source of claim 1, wherein at least two of the adjustment electrodes are realized as split electrodes, each of said split electrodes being composed of four sectorial electrodes, which sectorial electrodes are configured to be applied different electrostatic potentials.
  • 20. The charged-particle source of claim 1, further comprising a control electrode of Wehnelt type located between the emitter electrode and the adjustment electrodes, wherein the control electrode is closer to the emitter electrode, said control electrode configured to being applied a control voltage with regard to the emitter electrode opposite to that of the counter electrode with regard to the emitter electrode, and having a control aperture at a location downstream of the emitter electrode along said direction of emission.
  • 21. The charged-particle source of claim 2, wherein the energetic radiation comprises electrons, and the gas ionizer device is an electron gun configured to inject said electrons into the source space in a direction transversal to the direction of emission.
  • 22. A charged-particle source configured for emission of electrically charged particles as a charged-particle beam along a direction of emission, said charged-particle source comprising a housing connectable to a vacuum system, allowing the charged-particle source being operated at a high vacuum,an emitter electrode, having an emitter surface configured to emit charged particles of a specific particle species along the direction of emission,a counter electrode configured to have an electrostatic voltage applied with respect to the emitter electrode at a sign opposite to that of the electrically charged particles, the counter electrode having an emitter aperture at a location downstream of the emitter surface along said direction of emission,a source space defined between the emitter surface and the emitter aperture,at least two adjustment electrodes, located surrounding the source space between the emitter electrode and the counter electrode, each adjustment electrode being configured to have a controlled electrostatic voltage applied with respect to the counter and/or emitter electrodes, anda pressure regulator device, said pressure regulator device controls the pressure of a gas present in the source space,the particle source being operable in an emission mode wherein a voltage is applied between the emitter and counter electrodes, causing generation of charged particles leaving the particle source along the direction of emission, the particle source being further operable in a first cleaning mode whereinthe gas is held at a pre-defined pressure value within the source space, while applying a voltage between the emitter and counter electrodes, wherein secondary electrons generated by charged particles at the counter electrode ionize particles of said gas in the source space, producing ionized gas particles andelectrostatic potentials are applied to at least some of the adjustment electrodes, generating an electric field directing said ionized gas particles onto the emitter surfaceand wherein the charged-particle source further comprises a gas ionizer device, said gas ionizer device being configured to irradiate energetic radiation into the source space, which energetic radiation is capable of ionizing particles of said gas present in or fed into the source space to obtain a plasma,the particle source being operable in a second cleaning mode wherein the gas ionizer device is operated to generate a plasma in the gas within the source space, while applying a voltage between selected ones of the adjustment electrodes so as to direct said plasma onto the emitter surface.
CROSS REFERENCE TO RELATED APPLICATIONS

This application claims priority to U.S. Provisional Application No. 62/623,952, entitled “Charged-Particle Source and Method For Cleaning a Charged-Particle Source Using Back-Sputtering” to Platzgummer et al., filed Jan. 30, 2018, the disclosure of which is incorporated by reference herein in its entirety.

US Referenced Citations (216)
Number Name Date Kind
1033741 Sims Jul 1912 A
1420104 Howe et al. Jun 1922 A
1903005 McCuen Mar 1933 A
2187427 Middleton Jan 1940 A
2820109 Dewitz Jan 1958 A
2920104 Brooks et al. Jan 1960 A
3949265 Holl Apr 1976 A
4467211 Smith Aug 1984 A
4735881 Kobayashi et al. Apr 1988 A
4899060 Lischke Feb 1990 A
5103101 Neil et al. Apr 1992 A
5189306 Frei Feb 1993 A
5260579 Yasuda et al. Nov 1993 A
5369282 Arai et al. Nov 1994 A
5393987 Abboud et al. Feb 1995 A
5399872 Yasuda et al. Mar 1995 A
5533170 Teitzel et al. Jul 1996 A
5814423 Maruyama et al. Sep 1998 A
5841145 Satoh et al. Nov 1998 A
5847959 Veneklasen et al. Dec 1998 A
5857815 Bailey et al. Jan 1999 A
5866300 Satoh et al. Feb 1999 A
5876902 Veneklasen Mar 1999 A
5933211 Nakasugi et al. Aug 1999 A
6014200 Sogard et al. Jan 2000 A
6043496 Tennant Mar 2000 A
6049085 Ema Apr 2000 A
6107636 Muraki Aug 2000 A
6111932 Dinsmore Aug 2000 A
6137113 Muraki Oct 2000 A
6225637 Terashima et al. May 2001 B1
6229595 McKinley et al. May 2001 B1
6252339 Kendall Jun 2001 B1
6258511 Okino et al. Jul 2001 B1
6280798 Ring et al. Aug 2001 B1
6333138 Higashikawa et al. Dec 2001 B1
6472673 Chalupka et al. Oct 2002 B1
6473237 Mei Oct 2002 B2
6552353 Muraki et al. Apr 2003 B1
6617587 Parker Sep 2003 B2
6768123 Giering Jul 2004 B2
6768125 Platzgummer et al. Jul 2004 B2
6829054 Stanke et al. Dec 2004 B2
6835937 Muraki et al. Dec 2004 B1
6858118 Platzgummer et al. Feb 2005 B2
6897454 Sasaki et al. May 2005 B2
6965153 Ono et al. Nov 2005 B1
7084411 Lammer-Pachlinger et al. Aug 2006 B2
7124660 Chiang Oct 2006 B2
7129024 Ki Oct 2006 B2
7199373 Stengl et al. Apr 2007 B2
7201213 Leeson Apr 2007 B2
7214951 Stengl et al. May 2007 B2
7276714 Platzgummer et al. Oct 2007 B2
7368738 Platzgummer et al. May 2008 B2
7446601 LeChevalier Nov 2008 B2
7459247 Bijnen et al. Dec 2008 B2
7671687 LeChevalier Mar 2010 B2
7683551 Miyamoto et al. Mar 2010 B2
7687783 Platzgummer et al. Mar 2010 B2
7710634 Sandstrom May 2010 B2
7714298 Platzgummer et al. May 2010 B2
7741620 Doering et al. Jun 2010 B2
7772574 Stengl et al. Aug 2010 B2
7777201 Fragner et al. Aug 2010 B2
7781748 Platzgummer et al. Aug 2010 B2
7823081 Sato et al. Oct 2010 B2
8057972 Heinrich et al. Nov 2011 B2
8115183 Platzgummer et al. Feb 2012 B2
8178856 Nakayamada et al. May 2012 B2
8183543 Platzgummer et al. May 2012 B2
8198601 Platzgummer et al. Jun 2012 B2
8222621 Fragner et al. Jul 2012 B2
8227768 Smick et al. Jul 2012 B2
8257888 Sczyrba et al. Sep 2012 B2
8258488 Platzgummer et al. Sep 2012 B2
8294117 Kruit et al. Oct 2012 B2
8304749 Platzgummer et al. Nov 2012 B2
8378320 Platzgummer Feb 2013 B2
8502174 Wieland Aug 2013 B2
8531648 Jager et al. Sep 2013 B2
8546767 Platzgummer et al. Oct 2013 B2
8563942 Platzgummer Oct 2013 B2
8598544 Van De Peut et al. Dec 2013 B2
8736170 Liu et al. May 2014 B1
8859983 Wieland Oct 2014 B2
9053906 Platzgummer Jun 2015 B2
9093201 Platzgummer et al. Jul 2015 B2
9099277 Platzgummer Aug 2015 B2
9184026 Wieland Nov 2015 B2
9188874 Johnson Nov 2015 B1
9269543 Reiter et al. Feb 2016 B2
9335638 Jager et al. May 2016 B2
9373482 Platzgummer Jun 2016 B2
9443699 Platzgummer et al. Sep 2016 B2
9495499 Platzgummer et al. Nov 2016 B2
9520268 Platzgummer Dec 2016 B2
9568907 Platzgummer et al. Feb 2017 B2
9653263 Platzgummer et al. May 2017 B2
9691589 Van De Peut et al. Jun 2017 B2
9799487 Platzgummer Oct 2017 B2
9978562 Van De Peut et al. May 2018 B2
10325756 Platzgummer Jun 2019 B2
10325757 Platzgummer et al. Jun 2019 B2
10410831 Platzgummer Sep 2019 B2
10522329 Platzgummer et al. Dec 2019 B2
20010028038 Hamaguchi et al. Oct 2001 A1
20020021426 Mei et al. Feb 2002 A1
20020036264 Nakasuji Mar 2002 A1
20020148978 Innes et al. Oct 2002 A1
20030085360 Parker et al. May 2003 A1
20030106230 Hennessey Jun 2003 A1
20030155534 Platzgummer et al. Aug 2003 A1
20030160980 Olsson et al. Aug 2003 A1
20040056207 Petrov Mar 2004 A1
20040058536 Ki Mar 2004 A1
20040119021 Parker et al. Jun 2004 A1
20040157407 Qin-Yi et al. Aug 2004 A1
20040169147 Haruhito et al. Sep 2004 A1
20050001178 Parker et al. Jan 2005 A1
20050063510 Hieronimi et al. Mar 2005 A1
20050072941 Tanimoto et al. Apr 2005 A1
20050104013 Stengl et al. May 2005 A1
20050242302 Platzgummer et al. Nov 2005 A1
20050242303 Platzgummer Nov 2005 A1
20060060775 Sakakibara et al. Mar 2006 A1
20060076509 Okino et al. Apr 2006 A1
20060169925 Miyajima et al. Aug 2006 A1
20070138374 Nishibashi et al. Jun 2007 A1
20070178407 Hatakeyama et al. Aug 2007 A1
20070279768 Shibazaki et al. Dec 2007 A1
20080024745 Baselmans et al. Jan 2008 A1
20080080782 Olsson et al. Apr 2008 A1
20080099693 Platzgummer et al. May 2008 A1
20080105827 Tamamushi May 2008 A1
20080128638 Doering et al. Jun 2008 A1
20080142728 Smick et al. Jun 2008 A1
20080198352 Kugler et al. Aug 2008 A1
20080203317 Platzgummer et al. Aug 2008 A1
20080212052 Wagner et al. Sep 2008 A1
20080237460 Fragner et al. Oct 2008 A1
20080257096 Zhu et al. Oct 2008 A1
20080260283 Ivansen Oct 2008 A1
20080283767 Platzgummer Nov 2008 A1
20080299490 Takekoshi Dec 2008 A1
20090032700 Park et al. Feb 2009 A1
20090101816 Noji et al. Apr 2009 A1
20090168043 Lee Jul 2009 A1
20090200495 Platzgummer et al. Aug 2009 A1
20090249266 Pierrat et al. Oct 2009 A1
20090256075 Kemen et al. Oct 2009 A1
20090321631 Smick et al. Dec 2009 A1
20100124722 Fragner et al. May 2010 A1
20100127185 Fragner et al. May 2010 A1
20100127431 Sandstrom May 2010 A1
20100178602 Seto et al. Jul 2010 A1
20100187434 Platzgummer et al. Jul 2010 A1
20100288938 Platzgummer Nov 2010 A1
20110053087 Nielsen et al. Mar 2011 A1
20110073782 Wieland Mar 2011 A1
20110084219 Adamec Apr 2011 A1
20110121208 Nakayamada et al. May 2011 A1
20110204253 Platzgummer et al. Aug 2011 A1
20110226968 Platzgummer Sep 2011 A1
20120001097 Yashima et al. Jan 2012 A1
20120007002 Nakayamada et al. Jan 2012 A1
20120076269 Roberts et al. Mar 2012 A1
20120085940 Matsumoto Apr 2012 A1
20120151428 Tanaka et al. Jun 2012 A1
20120211674 Kato Aug 2012 A1
20120286169 Van de Peut et al. Nov 2012 A1
20120286170 Van de Peut et al. Nov 2012 A1
20120288787 Choi et al. Nov 2012 A1
20120329289 Fujimura et al. Dec 2012 A1
20130070222 Fujimura Mar 2013 A1
20130120724 Wieland et al. May 2013 A1
20130128247 Khuat et al. May 2013 A1
20130157198 Yoshikawa et al. Jun 2013 A1
20130161511 Karimata Jun 2013 A1
20130164684 Yamanaka Jun 2013 A1
20130198697 Hotzel et al. Aug 2013 A1
20130201468 Manakli Aug 2013 A1
20130252145 Matsumoto et al. Sep 2013 A1
20130253688 Matsumoto et al. Sep 2013 A1
20140042334 Wieland Feb 2014 A1
20140158916 Fujimura Jun 2014 A1
20140197327 Platzgummer Jul 2014 A1
20140240732 Tinnemans et al. Aug 2014 A1
20140264066 Van De Peut et al. Sep 2014 A1
20140264086 Van De Peut et al. Sep 2014 A1
20140322927 Morita Oct 2014 A1
20140346369 Matsumoto Nov 2014 A1
20150021493 Platzgummer Jan 2015 A1
20150028230 Platzgummer Jan 2015 A1
20150069260 Platzgummer Mar 2015 A1
20150243480 Yamada Aug 2015 A1
20150248993 Reiter et al. Sep 2015 A1
20150311030 Platzgummer et al. Oct 2015 A1
20150311031 Platzgummer et al. Oct 2015 A1
20150347660 Platzgummer et al. Dec 2015 A1
20160012170 Platzgummer Jan 2016 A1
20160013019 Platzgummer Jan 2016 A1
20160071684 Platzgummer et al. Mar 2016 A1
20160276131 Platzgummer Sep 2016 A1
20160276132 Platzgummer et al. Sep 2016 A1
20160284509 Matsumoto Sep 2016 A1
20160336147 Platzgummer Nov 2016 A1
20160349626 Matsumoto Dec 2016 A1
20170032926 Miyamoto Feb 2017 A1
20170154750 Sato Jun 2017 A1
20170357153 Platzgummer Dec 2017 A1
20180019094 Iwata Jan 2018 A1
20180218879 Platzgummer et al. Aug 2018 A1
20190066976 Platzgummer et al. Feb 2019 A1
20190088448 Platzgummer et al. Mar 2019 A1
20190214226 Platzgummer et al. Jul 2019 A1
Foreign Referenced Citations (25)
Number Date Country
202204836 Apr 2012 CN
0178156 Apr 1986 EP
0928012 Jul 1999 EP
1033741 Sep 2000 EP
1993118 Nov 2008 EP
2019415 Jan 2009 EP
2187427 May 2010 EP
2190003 May 2010 EP
2214194 Aug 2010 EP
2312609 Apr 2011 EP
2317535 May 2011 EP
2363875 Sep 2011 EP
2950325 Dec 2015 EP
2993684 Mar 2016 EP
3037878 Jun 2016 EP
2349737 Nov 2000 GB
08213301 Aug 1996 JP
2006019436 Jan 2006 JP
2006332289 Dec 2006 JP
2007172862 Jul 2007 JP
2010098275 Apr 2010 JP
2006084298 Aug 2006 WO
2008053140 May 2008 WO
2009147202 Dec 2009 WO
2012172913 Dec 2012 WO
Non-Patent Literature Citations (46)
Entry
European Search Report for Application 08450077.6, report dated Jan. 29, 2010, 2 pgs.
European Search Report for Application 09450211.9-1226; report dated Sep. 14, 2010; 4 pgs.
European Search Report for application 09450212.7; dated Sep. 28, 2010, 9 pgs.
European Search Report for Application 141501197.7, report dated Jun. 6, 2014, 2 pgs.
European Search Report for Application 14165967, report dated Oct. 30, 2014, 2 pgs.
European Search Report for Application 14165970, report dated Jun. 18, 2014, 2 pgs.
European Search Report for Application 14170611, report dated Nov. 4, 2014, 3 pgs.
European Search Report for Application 14176563, report dated Jan. 14, 2015, 2 pgs.
European Search Report for Application 14177851; report dated Oct. 16, 2014; 1 page.
European Search Report for Application 14199183, report dated Jun. 19, 2015, 2 pgs.
European Search Report for Application 15159397.7, report dated Sep. 28, 2015, 7 pgs.
European Search Report for Application 15159617.8, report dated Oct. 19, 2015, 3 pgs.
European Search Report for Application 15164770, report dated Sep. 18, 2015; 2 pgs.
European Search Report for Application 15164772, report dated Sep. 11, 2015, 2 pgs.
European Search Report for Application 15169632, report dated Oct. 20, 2015, 3 pgs.
European Search Report for Application 15171348, report dated Oct. 30, 2015, 2 pgs.
European Search Report for EP 14176645, completed Dec. 1, 2014, 1 pg.
European Search Report for EP Application No. 16174185, Search Completed Dec. 6, 2016, 2 pgs.
European Search Report for European Application 10450070.7 dated May 7, 2012, 13 pgs.
European Search Report for European Application No. 16160622, Search completed Jul. 21, 2016, dated Jul. 21, 2016, 3 pgs.
European Search Report for European Patent Application 16160621, Report Completed Oct. 5, 2016, 3 pgs.
Extended European Search Report for European Application No. 16169216.5, Search completed Sep. 21, 2016, dated Sep. 29, 2016, 12 Pgs.
Extended European Search Report for European Application No. 17153506, Search completed Oct. 5, 2017, dated Oct. 16, 2017, 2 pgs.
Extended European Search Report for European Application No. 17187922.4, Search completed Feb. 21, 2018, dated Mar. 6, 2018, 7 pgs.
Extended European Search Report for European Application No. 17191553.1, Search completed Mar. 22, 2018, dated Apr. 9, 2018, 5 pgs.
Extended European Search Report for European Application No. 18150797.1, Search completed Jun. 29, 2018, dated Jul. 9, 2018, 8 pgs.
Extended European Search Report for European Application No. 18154140.0, Search completed Aug. 16, 2018, dated Sep. 4, 2018, 5 pgs.
“Dither”, Wikipedia, Retrieved from https://en.wikipedia.org/w/index.php?title=Dither&oldid=762118152 on Oct. 5, 2017.
“Ordered dithering”, Wikipedia, Retrieved from https://en.wikipedia.org/w/index.php?title=Ordered_dithering&oldid=759840417 on Oct. 5, 2017.
Berry et al., “Programmable aperture plate for maskless high-throughput nanolithography”, J. Vac. Sci. Technol., Nov./Dec. 1997, vol. B15, No. 6, pp. 2382-2386.
Borodovsky, “EUV, EBDW—ARF Replacement or Extension?”, KLA-Tencor Lithography User Forum, Feb. 21, 2010, San Jose, CA, USA, 21 pgs.
Borodovsky, “MPProcessing for MPProcessors”, SEMATECH Maskless Lithography and Multibeam Mask Writer Workshop, May 10, 2010, New York, NY, USA, 35 pgs.
Disclosed Anonymously, “Multi-tone rasterization, dual pass scan, data path and cell based vector format”, IPCOM000183472D, printed from ip.com PriorArtDatabase, published May 22, 2009, 108 pages.
Hinterberger, “Ion optics with electrostatic lenses”, University of Bonn, Germany, 2006, 18 pgs.
Huber et al., “Computing Straight Skeletons and Motorcycle Graphs: Theory and Practice”, Thesis, Univ. of Salzburg (Austria) Jun. 2011, 134 pgs.
Kapl et al., “Characterization of CMOS programmable multi-beam blanking arrays as used for programmable multi-beam projection lithography and resistless nanopatterning”, Journal of Micromechanics and Microengineering, vol. 21, Mar. 24, 2011, pp. 1-8.
Kim et al., “Binary-encounter-dipole model for electron-impact ionization”, Physical Review A, Nov. 1994, vol. 50, No. 3, pp. 3954-3967.
Li et al., “Through-Silicon Interposer Technology for Heterogeneous Integration”, Future Fab Intl., Issue 45 (Apr. 25, 2013), 6 pgs.
Palfrader et al., “Computing Mitered Offset Curves Based on Straight Skeletons”, Computer-Aided Design & Applications, vol. 12, No. 4, Feb. 11, 2015, pp. 414-424.
Paraskevopoulos et al., “Scalable (24-140 Gbps) optical data link, well adapted for future maskless lithography applications”, Proc. SPIE vol. 7271, 72711 I (2009), 11 pgs.
Platzgummer et al., “eMET—50keV electron Mask Exposure Tool Development based on proven multi-beam projection technology”, Proc. of SPIE, 2010, vol. 7823, pp. 782308-1-782308-12.
Platzgummer et al., “eMET POC: Realization of a proof-of-concept 50 keV electron multibeam Mask Exposure Tool”, Proc. of SPIE, 2011, vol. 8166, pp. 816622-1-816622-7.
Shih, “Image processing and mathematical morphology: fundamentals and applications”, CRC Press, 2009, pp. 26-32.
Vink et al., “Materials with a high secondary-electron yield for use in plasma displays”, Applied Physics Letters, Mar. 25, 2002, vol. 80, No. 12, pp. 2216-2218.
Wheeler et al., “Use of Electron Beams in VLSI”, G.E.C. Journal of Science and Technology, General Electric Company. Wembley, Middlesex, GB, vol. 48, No. 2, Jan. 1, 1982, pp. 103-107, XP000820522.
Zhang et al., “Integrated Multi-Electron-Beam Blanker Array for Sub-10-nm Electron Beam Induced Deposition”, J. Vac. Sci. Technol., Nov./Dec. 2006, vol. B24, No. 6, pp. 2857-2860.
Related Publications (1)
Number Date Country
20190237288 A1 Aug 2019 US
Provisional Applications (1)
Number Date Country
62623952 Jan 2018 US