Feedback control of plasma-enhanced chemical vapor deposition processes

Information

  • Patent Grant
  • 6913938
  • Patent Number
    6,913,938
  • Date Filed
    Tuesday, June 18, 2002
    22 years ago
  • Date Issued
    Tuesday, July 5, 2005
    18 years ago
Abstract
A method of film deposition in a chemical vapor deposition (CVD) process includes (a) providing a model for CVD deposition of a film that defines a plurality of regions on a wafer and identifies one or more film properties for at least two regions of the wafer and at least one deposition model variable that correlates with the one or more film properties; (b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable; (c) measuring a film property of at least one of the one or more film properties for the deposited film of step (b) for each of the at least two regions of the wafer and determining a film property; (d) calculating an updated deposition model based upon the film property of step (c) and the model of step (a); and (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property. The method can be used to provide feedback to a plurality of deposition chambers or to control film properties other than film thickness.
Description
FIELD OF THE INVENTION

The present invention generally relates to process control of thin films deposition using chemical vapor deposition and more particularly to a method, apparatus and medium for providing feedback control of the plasma-enhanced chemical vapor deposition (PECVD) deposition process.


BACKGROUND OF THE INVENTION

Plasma-enhanced chemical vapor deposition is used in semiconductor manufacturing to deposit thin films on substrates, for example, to deposit a silicon dioxide film on a silicon wafer. Thin film deposition of dielectrics such as silicon dioxide or Black Diamond™ is an important step in many fabrication processes, such as formation of pre-metal dielectrics (PMD), in shallow trench isolation (STI) and deposition of interlayer dielectrics (ILD). In these and other processes, the deposited film properties, i.e., film thickness, chemical homogeneity, and optical and mechanical properties, are important to the final device properties.


In most applications, a layer is deposited over existing features on a device. The excess coating is removed, or the variation in the coating is reduced in a subsequent chemical-mechanical deposition (CMP) step. The deposited film may also have features that are created on the film using a lithography process, followed by an etch process. Thin film deposition is an inherently complex process, thereby making it hard to simultaneously control film characteristics, such as optical and electrical properties, stresses in the film, etc., while maintaining uniform film thickness. Thin film deposition processes typically “drift” over time, causing the deposited film to deviate significantly from target values. Specifically, plasma enhanced chemical vapor deposition introduces both radial and azimuthal thickness non-uniformity, both within and among wafers. While film thickness non-uniformity can be addressed in the subsequent CMP polishing step, the greater the deposition-induced non-uniformity, the more difficult it is to achieve within wafer uniformity in subsequent steps.


As microelectronics device feature sizes continue to shrink, it is necessary to have tighter controls in fabrication to maintain high yields. The semiconductor industry has developed run-to-run control of the various processing steps in a semiconductor fabrication process in order to maintain tighter control over process output. In run-to-run control, a product recipe with respect to a particular machine process is modified between machine runs so as to minimize process drift, shift and variability. Post-process measurements are made periodically and are used along with empirical process models and drift compensation techniques to suggest new equipment settings for the next run. The development of feedback control has been largely empirical, based upon experimentally observed correlations between input and output measurements.


There has been some investigation into feedback control of plasma etch and deposition processes, both experimental and theoretical. Implementation of process control in these operations has been limited due to unavailability of suitable in situ measurements, limited process understanding and non-automated operational practices. Improvements in advanced process control and reduction of run-to-run variability in a plasma enhanced chemical vapor deposition process are thus desired.


SUMMARY OF THE INVENTION

The present invention relates to a method, apparatus and medium for process control of plasma chemical vapor deposition of a thin film onto a surface of a substrate, for example, a semiconductor wafer, in order to improve wafer to wafer and within wafer uniformity of the thin film properties. The present invention uses a model (which can be implemented as a single model or multiple models) of the thin film deposition process to predict film deposition rate, film thickness uniformity and/or other film properties across the wafer surface. Deviations from the predicted outcome are used to update the model and set new deposition recipe, which feed back into the process to enhance process results.


The use of multiple wafer regions in the deposition model that defines the deposited film (as contemplated by one or more embodiments of the present invention) provides greater control over the cross-film thickness. Furthermore, the methods, apparatus and mediums of the present invention (in one or more embodiments thereof) provide a model that distinguishes between deposition processes being carried out in different deposition chambers of the tool and between deposition parameters that are independently or commonly controlled for each chamber, thereby providing a better approximation of the tool state in each chamber. The methods, apparatus and mediums of the present invention (in one or more embodiments thereof) also provide a model that defines the relationship between the deposition parameters and film properties other than film thickness, allowing control of the chemical, optical and/or material properties of the thin film. In addition, the methods, apparatus and mediums of the present invention (in one or more embodiments thereof) provide models that better approximate tool behavior by accounting for effects such as tool idle time, the effect of earlier-processed wafers on the current wafer, or the reliability of a value for a measured film quality. These and other aspects of the present invention allow for better estimation of tool behavior and the prediction of optimal deposition recipes for achieving a target output, thus overcoming deficiencies of the conventional technology.


In one aspect of the invention, a method of film deposition in a chemical vapor deposition (CVD) process includes:

    • a) providing a model for CVD deposition of a film that defines a plurality of regions on a wafer and identifies one or more film properties for at least two regions of the wafer;
    • b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition variable;
    • c) measuring a film property for at least one of one or more film properties for the deposited film of step (b) for each of the at least two regions of the wafer;
    • (d) calculating an updated model based upon the measured film property profile of step (c) and the model of step (a); and
    • (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property profile.


In one or more embodiments, the step of providing a model includes:

    • (f) depositing a film on at least one wafer in a deposition step having a deposition variable;
    • (g) identifying a plurality of regions of the at least one wafer and measuring a film property for each of the at least one wafers at each of the plurality of regions after the deposition of step (e); and
    • (h) recording the deposition variable and measured film property for each of the plurality of regions on a recordable medium; and
    • (i) fitting the data to a linear or non-linear curve that establishes a relationship between the film property of a region of the film and the deposition variable.


In another aspect of the invention, a method of film deposition in a plasma chemical vapor deposition (CVD) process includes:

    • a) providing a model for plasma CVD deposition of a film that identifies one or more film properties for the wafer and at least one deposition variable that correlates with the film property;
    • b) depositing a film onto a wafer using a first deposition recipe comprising the at least one deposition variable;
    • c) measuring the film property for at least one of one or more film properties for the deposited film of step (b) for the wafer;
    • d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and
    • e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property profile.


In yet another aspect of the invention, a computer readable medium having instructions being executed by a computer is provided. The instructions include a computer-implemented software application for a plasma-enhanced chemical vapor deposition process, including (a) receiving data from a CVD tool relating to a deposition variable and a measured film property for each of a plurality of regions for at least one wafer; (b) calculating, from the data of step (a), a deposition model, wherein the model is calculated by determining the relationship between the film property of a region of a wafer and the deposition variable.


Another aspect of the invention includes a computer readable medium having instructions being executed by a computer. The instructions include a computer-implemented software application for a plasma chemical vapor deposition (CVD) process, with instructions for implementing the process including a) receiving data from a plasma CVD tool relating to the film property of at least one wafer processed in the plasma CVD deposition process; and b) calculating, from the data of step (a), an updated deposition model, wherein the updated deposition model is calculated by determining the difference between an output of a film deposition model and the data of step (a).


Still another aspect of the invention includes a plasma chemical vapor deposition tool for deposition of a film. The tool includes a plasma CVD apparatus comprising a chamber, a vacuum system, an RF generator for generating a source plasma, and a gas delivery system; controlling means capable of controlling an operating variable of the deposition process; and a controller operatively coupled to the controlling means, the controller operating the controlling means to adjust the operating variable of the deposition process as a function of a model for a film property. The model is a deposition model that identifies a film property and a deposition variable that correlates with the film property.


In still another aspect of the invention, a plasma chemical vapor deposition (CVD) tool for deposition of a film includes a) modeling means for defining a plurality of regions on a wafer and identifying one or more film properties for at least two of the regions of the wafer; b) means for depositing a film onto a wafer using a first deposition recipe comprising at least one deposition variable, wherein the at least one deposition variable correlates with the film property; c) means for measuring a film property for at least one of the one or more film properties for the deposited film of step (b) for each of the at least two regions of the wafer; d) means for calculating an updated model based upon the measured film property of step (c) and the model of step (a); and e) means for calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property profile.





BRIEF DESCRIPTION OF THE DRAWING

Various objects, features, and advantages of the present invention can be more fully appreciated with reference to the following detailed description of the invention when considered in connection with the following figures, in which like reference numerals identify like elements. The following drawings are for the purpose of illustration only and are not intended to be limiting of the invention, the scope of which is set forth in the claims that follow.



FIG. 1A is a schematic view of a plasma-enhanced chemical vapor deposition apparatus, and FIG. 1B is an enlarged view of the reaction chamber of the apparatus, for use in one or more embodiments of the invention.



FIG. 2 is a flow diagram generally illustrating model development.



FIG. 3 is a schematic illustration showing the relationship between input and output parameters in one or more embodiments of the present invention.



FIG. 4 schematic illustration of a wafer showing regions defined for thickness profile model.



FIG. 5 is a flow diagram of the feedback loop used in a PECVD deposition operation, as contemplated by one or more embodiments of the present invention.



FIG. 6 is a block diagram of a computer system that includes tool representation and access control for use in one or more embodiments of the present invention.



FIG. 7 is an illustration of a floppy disk that may store various portions of the software according to one or more embodiments of the present invention.





DETAILED DESCRIPTION OF THE INVENTION

Plasma-enhanced chemical vapor deposition (PECVD) has been widely used in microelectronics fabrication to deposit films, such as a SiO2, at low temperatures. In the PECVD process, a radio frequency (RF) glow discharge (plasma) supplies part of the energy to promote a desired chemical reaction on the surface of the substrate. FIG. 1A is a schematic illustration of an exemplary PECVD system 100 with parallel plate electrodes 110, 115. The system 100 includes a chamber 120, a vacuum system 130, an RF generator 140 for generating a source plasma 145, and a gas or fluid delivery system 150 for introduction of reactive gases. A wafer 160 for film deposition is placed on the grounded electrode 110. Reactive gases are introduced into a reaction chamber 120 through inlet 125 of the gas delivery system. In order to promote a uniform distribution, the reactive gases typically are introduced into the chamber at a source positioned opposite or a distance from the wafer. The wafer-containing electrode may be rotated for further uniformity of deposition, as indicated by arrow 165. The gas delivery system may include heating and cooling means (not shown) for maintaining a constant gas and chamber temperature. Wafers are transferred into and out of chamber 120 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 120. Two or more chambers may be connected. In at least some PECVD systems, the chambers share reactive gases, but have individual RF controls.



FIG. 1B is an enlarged view of the PECVD reaction chamber illustrating an exemplary delivery system for the reactive gases used in the PECVD process. The gases are introduced through inlet 125 into a heated gas distribution head (showerhead) 170, which has outlets 180 at spaced intervals. As shown by arrows in FIG. 1B, the reactive gases then flow into the plasma gas. The energy of the plasma is transferred into the gas mixture, transforming the gas into reactive radicals, ions and other highly excited species. The energetic species then flow over the wafer, where they are deposited as a thin film. Since the formation of the reactive species takes place in the gas phase, the wafer can be maintained at low temperatures.


The term “target output” represents the desired processing outcome of a plasma enhanced chemical vapor deposition process. Some tolerance is built into the profile, so that the profile includes the target value and acceptable standard deviations therefrom. Film thicknesses falling within the standard deviation would not require updating of the deposition recipe. Thus, use of the term “target output” includes the target value and the standard deviation therefrom.


The term “wafer” is used in a general sense to include any substantially planar object onto which a film is deposited. Wafers include monolith structures or structures having one or more layers, thin films or other features already deposited thereon. “Thin film” and “film” may be used interchangeably, unless otherwise indicated.


An exemplary PECVD deposition system includes two or more chambers in which deposition of material occurs. The chambers may carry out the same process or different processes; some CVD systems are based on a series of operations, while some use parallel processing schemes. The chambers may thus process wafers in parallel, that is, each deposition chamber deposits a film on a wafer at the same time. The deposition recipe for each chamber may be the same or different. In one or more processes, the chambers share some processing parameters while others are independently controlled. For example, gas flow of reactant gases is common to both (or all) chambers, but RF power, RF time and showerhead spacing are independently controlled in each chamber.


The present invention is described with reference to PECVD, however is it readily apparent that other plasma CVD processes, such as high density plasma processes, are also contemplated. The present invention also is applicable to CVD systems using either a batch process or inline process. An inline process refers to a process in which all wafers going through a system go through a sequence of steps and those steps may be carried out in different chambers, whereas a batch process refers to a process in which a wafer goes to any one of the chambers in the system, where the entire deposition is then carried out. The PECVD processes described above may be modeled to provide a format for improving the deposition process. The process model should accurately predict the thin film characteristics (output) for a given set of input conditions. The run-to-run film characteristics are improved or maintained by adjusting the deposition parameters during plasma enhanced deposition to correct for unmodeled effects or to correct for drift in the deposition process conditions. Run-to-run control can be defined as wafer-to-wafer or lot-to-lot, depending upon the processes being controlled and the available methods for monitoring output.


According to one or more embodiments of the present invention, an initial model is developed based upon knowledge of the film deposition process, as is shown in a flow diagram (FIG. 2). An initial understanding of the system is acquired in step 200, which is used to design and run a design of experiments (DOE) of step 210. The DOE desirably is designed to establish the relationship between or among variables that have a strong and predictable impact on the processing output one wishes to control, e.g., film thickness or some other film property. The DOE provides data relating to process parameters and process outcome, which is then loaded to the advanced process control system in step 220. The advanced process control system may be a controller or computer that uses the data to create and update the model. The model can be represented as raw data that reflects the system, or it can be represented by equations, for example multiple input-multiple output linear, quadratic and general non-linear equations, which describe the relationship among the variables of the system. Process requirements such as output targets and process specification are determined by the user in step 225, which are combined with the DOE data to generate a working model in step 230.


In developing the model, film properties of interest 302 are identified and outcome determinative processing variables 304 are selected for the model, as illustrated schematically in FIG. 3. The specific film properties of interest may vary depending upon the type of film deposited, and thus the film properties of interest 302 and processing variables 304 of FIG. 3 are shown by way of example.


Regardless of the type of film substance for which a model is created, to obtain DOE data, an experiment is run which perturbs or varies values of the processing variables of interest about a center point (or median value). One or more processing variables can be varied. The film properties of interest in the resultant film are measured for each combination of inputs. Data can be acquired empirically, by carrying out a series of experiments over a range of parameter values of the processing variables. The data is fit to the appropriate curve (linear or non-linear) to define the model.


Undoped silica glass (USG) is commonly deposited by PECVD and a model development is discussed below with specific reference to USG, although it is readily apparent that the methodology can be used to develop models for any other PECVD film deposition process, as well as CVD (i.e., non-plasma enhanced) processes.


In one or more embodiments of the present invention, the film properties of interest for USG film include one or more of film thickness, film thickness uniformity, stress and refractive index (RI). In at least some embodiments of the present invention, the model accounts for two or more film properties, for example, the model describes the effect of process variables on film thickness (deposition rate) and film stress, or on film thickness and refractive index. Process variables for deposition of the USG film include one or more of ozone flow rate, reactive gas flow rate, carrier gas flow rate, chamber pressure and showerhead spacing (distance) from the substrate, as well as total deposition time. Deposition time is controlled by RF power and RF time. For the deposition of USG films, reactive gases typically include ozone (O3), oxygen (O2), and tetraethylorthosilicate (TEOS) or, alternatively, silane (SiH4).


Models for other film deposition systems can be similarly developed using the processing variables and film properties specific to those films. For example, the deposition of dielectric anti-reflective coating (DARC) films may be modeled and controlled in a manner similar to that described for the USG-based films. The precursors in the case of DARC films are silane (SiH4) and N2O, which are reacted within a plasma to deposit the resultant film. The flow rates of these gases along with the spacing and deposition time are used to control the average film thickness, thickness uniformity, refractive index, extinction coefficient and stress.


The deposition of fluorosilicate glass (FSG) films can also be modeled. In this case, the average film thickness, film thickness uniformity, refractive index, and fluorine concentration can be modeled and controlled using spacing, deposition time, SiF4 flow rate, and high and low frequency RF power. In one or more embodiments, a component of the control of this film type is that both thickness and dopant concentration are controlled simultaneously.


For Black Diamond™ (BD) films, which are deposited using trimethylsilane (TMS) as a reactant gas, the effects of RF power, spacing, O2 flow rate, TMS flow rate, chamber pressure and deposition time are variables that can be included in a model used to control average film thickness, film thickness uniformity and refractive index. Furthermore, the carbon content of BD films can be provided as feed-forward data to the etching tool, as this factor is relevant in control of etch rate. Using a relationship between the etch uniformity and carbon content of the BD film, the etch recipe can be modified to moderate etch rate nonuniformity.


On the Producer™ system from Applied Materials of Santa Clara, Calif., the RF time, and hence deposition time, of each chamber is individually controllable, and the gas flow rates are common to all chambers of the PECVD system. In one or more embodiments of the present invention, the model can distinguish between the two types of processing variables (individual and common) and account for them accordingly. As discussed herein below, the model permits simultaneous optimization of more than one variable.


In one or more embodiments of the present invention, the model defines two or more different film property, e.g., film thickness, regions of the wafer. As is shown in FIG. 4, a wafer is divided into radial regions 401 through 405 of varying width and area. The number, size and location of the regions also can vary and may be selected based upon any number of factors, including the variability or uniformity of the film property in a given region of the wafer. In one or more embodiments of the present invention, it is desirable that the film property in any given region be substantially uniform, particularly in those cases where, for example, a number of wafer thickness measurements within a region are averaged to define the region-averaged thickness profile. Thus, at the edges of the wafer where edge effects can be dramatic, narrow regions encompassing only the outer portions of the wafer may be selected. Near the center of the wafer where deposition effects may be subtler, a larger region may be defined. In one or more embodiments of the present invention, the regions are defined such that all azimuthal variation is averaged out. In one or more embodiments of the present invention, the use of an input value is contemplated to correct and account for azimuthal variation. Film property measurements taken within a region of the wafer are averaged to give the average thickness for that region.


By way of example (with particular regard to film thickness), the five wafer regions of FIG. 4 can be defined as shown in Table 1 for a wafer that is 95 mm in diameter.














TABLE 1





Region
401
402
403
404
405







Radius, mm
5-40
40-60
60-80
80-92
92-95










With the regions defined as in Table 1, all thin film thickness measurement points with a radial distance from the wafer center greater than 5 mm, but less than 40 mm, are averaged together to give the thickness of region 401. The thicknesses for all other regions are similarly calculated, but region 405 includes all points greater than 92 mm and up to and including 95 mm. Thus, a film is deposited by PECVD and, based upon post-measurements and deposition time and/or other processing variables, a film thickness and deposition rate can be determined for each region.


In one or more embodiments of the present invention, a film property of interest is film thickness and one of the processing parameters is deposition time. In one or more embodiments of the present invention, a processing parameter is spacing of the showerhead from the wafer. Because deposition only occurs when RF power is activated, RF power time is directly correlated to deposition time. Since there are separate RF sources for each chamber in the PECVD system, the RF power time for each chamber can be varied as a means for controlling final film thickness. This provides the ability to control thin film final thickness even in the presence of differences in the chamber performances. Varying the spacing between the showerhead and the wafer also can control the film thickness, in this case, independently for each chamber. Similarly, changes in the supplied RF power also effects deposition rate. While film thickness is the measured output, it is appreciated that the information can be represented as a film deposition rate (film thickness per unit time) or as a film thickness profile (film thickness per unit area).


While film thickness and thickness uniformity are typically the tool behaviors being modeled, models for other film properties, such as stress and RI, can be developed by manipulating RF power and showerhead spacing or other processing variables. Multiple models for different film properties can be developed and used to describe the deposition process.


Once data from DOE runs are obtained, regression methods (or any other suitable method) may be used to determine a model that obeys the behavior of the process within the range of inputs that were used in the experiments. In one or more embodiments, the model for an i-chamber system is defined as shown in eq. (1),

Film_thicknessij=DRij·timei  (1)

where i is the ith chamber of deposition chamber, and DRij is the deposition rate for annular region j of the wafer in chamber i, where no Einstein summation has been used for the indices. The model is determined for each region of the wafer, and together the models define a film thickness profile across the wafer. Thus, the model can predict a film thickness profile by entering hypothetical variables into the model equation. In use, a measured film thickness profile is used to further refine the model in order to obtain updated parameters and thus an updated process recipe.


The processing variable for a basic model is typically process time; however, additional parameters can be included in the model. The relationship can be expressed generally as:

Qij=g(x1,x2 . . . xn)  (2a)

where Q is some film property in region j on a wafer in chamber i which is the result of a processing run; g( ) is some linear or nonlinear function of x1, x2, . . . , xn on recipe parameters or tool state parameters which affect the resulting film property Q. If the film property of interest is thickness, the function g( ) represents the deposition rate as a function of recipe parameters or tool state parameters. The thickness for each region j of wafer in chamber i would then be derived by multiplying the deposition rate by the deposition time as shown below.

Film_thicknessij=gij(x1,x2, . . . ,xn)·timei  (2b)

A model including additional processing parameters is shown in eqs. (2c)-(2e).

Film_thicknessij=(c1ij·spacingi+c2ij·poweri+c3ij·TEOS_flow+c4)·timei  (2c)

 Stressi=(b1i·spacingi+b2i·poweri+b3i·TEOS_flow+b4)  (2d)

RIi=(a1i·spacingi+a2i·poweri+a3i·TEOS_flowi+a4)  (2e)

where c1ij through c4ij are the parameters which provide the contribution of the particular processing parameter to the deposition rate in region j for a wafer in the ith chamber; b1i through b4i are the parameters which provide the contribution of the particular processing parameter to the film stress for the wafer in the ith chamber, and a1i through a4i are the parameters which provide the contribution of the particular processing parameter to the refractive index of the film to the wafer in the ith chamber. In one or more embodiments of the present invention, the film property, e.g., film thickness, is modeled in defined annular regions on the wafer. In one or more embodiments of the present invention, film properties, e.g., stress and refractive index, are modeled for the entire film.


The model takes into account common processing parameters that affect all chambers and independent processing parameters that affect each chamber individually. The relationships can also be adapted to reflect models where one or more regions of the film correspond to different annular regions of the wafer. This allows the controller to perform controls on multiple film regions simultaneously. This multiple region control provides control of within wafer uniformity. Thus, the model can account for an unlimited number of processing variables and permits their optimization while taking into consideration whether they affect all or only individual deposition chambers, or whether they affect different regions of the film differently.


In one or more embodiments of the present invention, the model may be further augmented to include the effect of the tool state. The tool state takes into consideration the effect of wear and use on the tool, here, a PECVD apparatus. This function is typically expressed as a scaling factor that takes the tool state into consideration. Factors that can affect tool state include idle time (time since last film deposition) and frequency of cleaning (or number of wafers deposited between cleaning or other shut down operation, such as preventative maintenance).


The first wafers coated after the deposition system has been idle typically have a different deposition rate than subsequently coated wafers, a situation known as the “first wafer effect”. In one or more embodiments of the present invention, the model is further modified to account for the effect of tool idle time on deposition rate. The model accounts for such variations on deposition by monitoring the idle time of the system and adjusting the deposition rate accordingly. Thus, a statement is placed within the model, which reflects the effect of idle time on processing, such as:

If (idle time)>5 min
Deposition time=x;  (3)

Else

Deposition time=y.

This captures idle time dependence within the model. In one or more embodiments of the present invention, the model has a more gradual change from one deposition rate to another and is given by the following equation:

DRidle=DRnoidle·(d1·tan−1(d2·idle_time+d3)+d4)  (4)

where DRidle is the deposition rate with the effect of idle time, DRnoidle is the deposition rate when there is no idle time, d1 and d4 determines the maximum change in deposition rate which is caused by idle time, d2 determines the rate at which this change occurs, and d3 determines at what idle time the change in deposition rate begins to be significant. In the general case, the effect of deposition rate or idle time can be given by the following equation:

DRidle=ƒ(DRnoidle,idle_time,x1,x2, . . . xn)  (5)

where ƒ( ) is some function which describes how the deposition rate is a function of: the deposition rate when there is no idle time, the idle time, and other past or current processing parameters related to the controller, tool state, or wafer state, here denoted by x1, x2, . . . , xn.


The “first wafer effect” is a member of a broader class of events, in which a single wafer measurement differs significantly from previous and subsequent measurements run on a specific tool or resource and, as such, does not represent an accurate representation of the process tool during normal operation. Accordingly, when these measurements are used in a feedback control system, this erroneous information may cause the system performance to deteriorate. These sudden changes can be the result of abrupt changes in the processing equipment, such as starting up the process after the system has been idle for a time, or it can be due to processing errors, such as an error in the metrology system. Since these sudden changes do not accurately reflect the subsequent behavior of the process tool, a methodology is used to evaluate the reliability of the measurement.


In one or more embodiments of the present invention, a methodology is provided within the model for assessing the reliability of the measurement. The methodology (i) estimates the intrinsic variation in the process, (ii) determines when a recent measurement is outside normal operating variation and, if so, marks the data as suspicious, and (iii) ignores the data until a trend is determined from subsequent data. This methodology allows the system to be sensitive to changes that occur over more than one wafer, but also provides the system with robustness over metrology failures or situations similar to the first wafer effect.


One or more of the embodiments of the present invention are also capable of learning the extent of differences in the film property relationships between the first wafer and the next wafer on a resource (chamber or tool) specific basis, referred to generally as the “x-wafer effect.” This is done by either maintaining a separate feedback loop for the first wafer versus the other wafers or by capturing the difference in the film property between first wafer and subsequent wafer on a resource specific basis. Resource specific refers to a particular tool or process being used.


Another case of an x-wafer effect in a CVD process arises out of the frequency of a “clean function” that is run in a chamber. If a clean recipe is run in between every wafer the film property tends to be identical; however, the clean recipe is time consuming. In this situation the chamber clean recipe is run every x wafers where x typically varies from 2 to 6. There is a change in the film property related to the nth wafer after the clean was run. The film property as a function of clean can be stated as (1+n*a)*K, where n is the wafer number after clean and a and K are variables relating the variation. Such variations can be captured by the model. The value of ‘a’ can also be learned on a resource specific basis.


It has been observed that, for some films, the film deposition rate increases with each wafer processed without an intervening chamber cleaning. In particular, high dielectric BLOk™ films show increasing film deposition rate with time. While not being bound to any mode or theory of operation, it is believed that material that builds up on the chamber during deposition affects deposition rate; and in the case of BLOk™ films, increases deposition time. Cleaning the chamber removes the built up residues and restores the chamber to its initial deposition conditions. Cleaning typically involves use of a plasma during film deposition.


If one can model the change in deposition rate as a function of chamber cleaning frequency, the number (or frequency) of chamber cleans can be reduced while concurrently reducing the film thickness variation. This results in an improvement in the overall efficiency of the process.


In a DOE-based model, a DOE identifies a correlation between number of wafers processed and deposition rate. One assumes that at least most film thicknesses are close to the target and k is a constant determined using DOE that defines how a particular run effects the deposition rate. Each wafer will have a different effect on the deposition rate because deposition of each wafer affects the deposition rate of the next wafer.


The model can be expressed as:
FT=(1+ki=1NwTi)·DR·t(6)

where FT is the film thickness, Ti is the target which corresponds to the ith wafer since the last clean, Nw is the number of wafers processed since the last chamber clean, DR is the scaled deposition rate that corresponds to a chamber that has just been cleaned and t is the deposition time. The model thus predicts the wafer thickness (and thus the change in affect in wafer deposition rate) based upon the number of wafers run and depends upon an understanding of how k reflects the effect of wafer number on film deposition rate.


Another way of modeling the system is to use an on-line estimation approach. The approach assumes a linear relationship between the ith wafer and the ith+1 wafer. The model is shown in the following eqs.:

{circumflex over (F)}T=D{circumflex over (R)}·t  (7a)
D{circumflex over (R)}=DRi−1+(DRi−1−DR)  (7b)
DRj=FTjtj(7c)

where F{circumflex over (T)} is the predicted film thickness for wafer i, D{circumflex over (R)} is the predicted deposition rate, which corresponds to the process to be performed on the wafer i, and ti is the deposition time for wafer i. DRj is the deposition rate for all j and FTj is the film thickness for all j, where j represents all the wafers used in the process between cleanings. The model employs a reset mechanism such that each time the chamber is cleaned, D{circumflex over (R)}i−1 and D{circumflex over (R)}i are reset to some nominal values that are representative of the deposition rate of a clean chamber.


Application of the model provides variation in the deposition recipe with each successive wafer so that the film thickness remains constant even though the deposition rate is varying. Furthermore, application of the model would permit extension of the time (or number of wafers) between cleanings. Since the cleaning operation is relatively long, this improves the overall efficiency of the tool and an improvement of the yield of the resultant product.


Once a process model is available, the model can be used to calculate an optimal set of recipe parameters in order to deposit a uniform film to a desired thickness. Conversely, using models such as those just described, a prediction for region-averaged film thickness can be calculated given the deposition time and any other parameters that are measured or varied. By individually optimizing for the regions j of the wafer, greater control over the total surface is attainable. Thus, greater within wafer film uniformity is achieved.


An exemplary optimization method, which can be used in determining an updated model (based upon the differences between measured and predicted values for a target output), solves the equation:
minxf(ysp,g(x))(8)

where x is a vector of recipe parameters and other processing parameters corresponding to the deposition recipe; g(x) is the model for the PECVD process which predicts the film properties based on a recipe and measurements related to tool state; ysp is a vector of the desired average region film thicknesses and/or other controlled film properties; and ƒ(ysp, g(x)) is some function which is meant to compensate for the deviation between the model predictions g(x) and the desired values ysp. The updated model then is used to determine an updated deposition recipe.


Thus, the optimization method suggests that the model need not correct for 100% of the deviation from predicted values. A function may also be used, as contemplated by one or more embodiments of the present invention, to reflect uncertainty in the measured or calculated parameters, or to “damp” the effect of changing recipe parameters too quickly or to too great an extent. It is possible, for example, that without this “damping” effect the controller overcompensates for the measured deviations thereby necessitating another adjustment to react to the overcompensation. This leads to oscillations that may take several runs before the final, optimized conditions are realized.


Based upon this control method, the post-deposition film thickness is measured and the difference between the predicted thickness and the final (i.e., actual) thickness is determined. Other controlled film properties are measured, as needed by the model. In one or more embodiments of the present invention, the film property is measured on a lot-to-lot basis. In one or more embodiments of the present invention, the reliability of the data is assessed before the data is used in updating the model.


The error in prediction, also known as a bias, can then be linearly added into the model such that the actual final thickness more closely matches the predicted (and typically targeted) thickness. This bias is added to each region j, of wafer in chamber i, which is modeled as is shown in the following equation:

Film_thicknessij=g(x1,x2, . . . xn)ij·timei+eij  (9)

where eij is the bias term, which arises due to the difference between the predicted and actual amount, deposited for region j of wafer in chamber i. The process of linearly updating a model with bias terms based upon the difference between a model prediction and an actual measurement is part of at least some feedback control in one or more embodiments of the present invention.


Instead of (and/or, in addition to) use of the aforementioned bias, one or more embodiments of the present invention contemplate that an updated recipe can be calculated to optimize the available recipe parameters and to drive the predictions to a target value. The recipe parameters are changed such that the film thickness is made constant even though the deposition rate may be varying. A methodology that automatically changes the recipe to achieve consistent film thickness not only improves the consistency of the resultant film thickness, but also improves the productivity of the tool, since the system is subject to less frequent down time for reconditioning. This consistent film thickness then improves the yield of the resultant product.


Process model development and optimization are carried out with reference to a specific deposition system. That is, conditions that effect the thin film characteristics are specific to the type of thin film being deposited and the tool used for deposition. It is recognized that many other films are and can be deposited using PECVD, and that models for their deposition can be similarly developed using the methodology and guidelines set forth herein. In one or more embodiments of the present invention, it is contemplated that a separate model (or at least a supplement to a composite model) is created for each thin film that is deposited. Alternatively, a model may be developed in reference to a previously developed model. This model may be product specific and take the original model and scale it based upon the differences between the products.


An example the use of an initial model developed as described herein above to control the run-to-run average thickness and the thickness uniformity of the deposition process and to provide a feedback loop for updating the deposition recipe is shown schematically in FIG. 5. Briefly, one or more wafers is processed according to a first deposition recipe. The actual number of wafers depends on the complexity of the model and can be about 10, or as many as 20-30 or more. A thickness measurement is taken across the deposited film to obtain a film thickness profile, which is compared to the predicted film thickness profile calculated by the model. If the measured film thickness profile indicates deviation from the predicted results, those deviations are used to update the model to better reflect the behavior of the processing tool. The updated model is used to determine an updated recipe, which is then used in a feedback loop to progressively match the behavior of the processing tool and to optimize the recipe so as to improve or maintain within wafer film thickness uniformity.


According to the processing flow diagram in FIG. 5, initial processing conditions (e.g., an initial tool state and initial wafer state) are identified that will provide a desired film deposition profile in step 500. The initial conditions may be determined empirically or by using the processing model of one or more embodiments of the present invention. If a processing model is used, a controller can use this model to calculate step times and processing parameters (i.e., to set the recipe for one or more incoming wafers) to deposit a film having a target (in some cases, a flat) profile on an incoming profile with a desired thickness, as shown in step 510. Thin films are deposited according to the initial deposition recipe in the PECVD tool at step 520. The thickness of the deposited film is measured and deviation from the predicted thickness is determined in step 530. In step 540 it is determined whether the deviation between the predicted and observed behavior exceeds an established tolerance. If the deviation is within acceptable ranges, no changes are made to the model and the recipe is unchanged (step 550). If the deviation is outside acceptable limits, then this information is marked to trigger a change in the model as described in step 560 and this information is fed back to the model in step 570 and thus into the controller where the deposition recipe is optimized according to an updated model that takes the deviation from the predicted value into consideration. The deposition step can be repeated and further updates of the deposition recipe are possible.


As is the case in most feedback systems, the process variables that are measured on-line (in this case with an integrated metrology unit on the tool) are updated in the model based upon the error between the prediction and the actual measurement. In the case of PECVD-processed films, one or more embodiments of the present invention contemplate that both uniformity and thickness are measured on-line and are used for updating the process model. Other controlled film properties can be measured on-line or off-line. In some cases these measurements would be performed on a lot-to-lot basis. That is, upon completion of the lot (usually 25 wafers) the wafers are brought to an external metrology tool where several wafers of the lot are measured.


In one or more embodiments of the present invention, film properties, e.g., stress and refractive index, are not measured and are handled in much the same way output constraints are handled in model predictive control. The use of output constraints in mode predictive control can be seen in the following optimization relationship:
minxf(ysp,g(x))(10)
s.t. h(x)≦0,


where h(x) is some constraint that is placed on the prediction of an unmeasured output. In one or more embodiments of the present invention, output constraints for the PECVD tool are applied to control the prediction of stress and refractive index. This optimization formulation constrains the prediction of the model to be within some limit, or set of limits, while still finding recipe parameters which yield the desired thickness and uniformity. Thus, as long as the recipe parameters are within stated maximum and minimum values, it is assumed that constrained output values are within allowable maximum and minimum values.


In one or more embodiments of the present invention, a feedback control methodology combines the chambers into a single model using the average of the tool states for each of the chambers. The single model would use the feedback approach described above to apportion the bias adjustment across the different chambers in some predetermined way.


When multiple process tools perform in series, also known as being run within a module, the performance of one tool can have a strong effect on the performance of subsequent tools. Accordingly, the performance of subsequent tools may be optimized by adjusting the performance of previous tools. For the specific case of ILD CVD, the standard way of performing the task is to deposit a film that has the most uniform film possible. Then, the ILD CPM is tasked with removing a certain amount of this film with as uniform a removal rate as possible. Unfortunately, the CMP removal profile is not as uniform as the deposition profile from the CVD tool. However, by manipulating the profile which results from the CVD tool, the shortcomings of the CMP tool can be addressed by providing an incoming profile which alleviates the resulting non-uniformities caused by the CMP tool.


Also, in one or more embodiments of the present invention, a feedback control scheme uses the final thickness measurements to distribute feedback individually to all of the chambers. Because each chamber can be can be treated individually, the tool state, i.e., cleaning frequency and idle time, can be included in the model and feedback can be specific to the chamber and deposition recipe. This feedback control scheme is particularly useful when different deposition recipes are being carried out in each chamber or when drift varies between chambers. The ability to separately model each chamber provides a greater of degree processing flexibility, since it allows one to change the processing recipe in one chamber (perhaps because film properties are drifting) while keeping the processing recipe at the remaining chamber unchanged (perhaps where film properties are within target ranges). When changes to the processing recipe are made to only one chamber, chamber-specific processing parameters are adjusted.


Feedback and feedforward control algorithms are constructed for use in the above control process based on the above models using various methods. The algorithms may be used to optimize parameters using various methods, such as recursive parameter estimation. Recursive parameter estimation is used in situations such as these, where it is desirable to update the model on line at the same time as the input-output data is received. Recursive parameter estimation is well suited for making decisions on line, such as adaptive control or adaptive predictions. For more details about the algorithms and theories of identification, see Ljung L., System Identification—Theory for the User, Prentice Hall, Upper Saddle River, N.J. 2nd edition, 1999.


In one or more embodiments of the present invention, the deposition recipe may be updated in discrete increments or steps defined in the algorithms of the model. Also, in one or more embodiments of the present invention, the updated recipes may be determined by interpolation to the appropriate parameters.


Additional apparatus utilized to implement the feedforward and feedback loop include tools for measuring a film property, e.g., a film thickness measurement (metrology) tool to provide thickness data needed to calculate film deposition rate. The tool may be positioned relative to the PECVD apparatus so as to provide in-line measurements, or it may be located remote from the apparatus. The tool may use optical, electrical, acoustic or mechanical measurement methods. A suitable thickness measurement device is available from Nanometrics (Milpitas, Calif.) or Nova Measuring Instruments (Phoenix, Ariz.). Other tools may be integrated into the system to provide measurement of film properties, such as trench depth, dopant concentration, refractive index or any other measurable film property that is modeled and controlled. The measurement is made wafer-to-wafer or lot-to-lot and may provide in-line or off-line measurements.


A computer may be utilized to calculate the optimal film deposition recipe based upon the measured film thickness and calculated deposition rate, employing the models and algorithms provided herein. A suitable integrated controller iAPC (integrated advanced process control) is available from Applied Materials (Santa Clara, Calif.).


Various aspects of the present invention that can be controlled by a computer can be (and/or be controlled by) any number of control/computer entities, including the one shown in FIG. 6. Referring to FIG. 6 a bus 656 serves as the main information highway interconnecting the other components of system 611. CPU 658 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of embodiments of the present invention as well as other programs. Read only memory (ROM) 660 and random access memory (RAM) 662 constitute the main memory of the system. Disk controller 664 interfaces one or more disk drives to the system bus 656. These disk drives are, for example, floppy disk drives 670, or CD ROM or DVD (digital video disks) drives 666, or internal or external hard drives 668. These various disk drives and disk controllers are optional devices.


A display interface 672 interfaces display 648 and permits information from the bus 656 to be displayed on display 648. Display 648 can be used in displaying a graphical user interface. Communications with external devices such as the other components of the system described above can occur utilizing, for example, communication port 674. Optical fibers and/or electrical cables and/or conductors and/or optical communication (e.g., infrared, and the like) and/or wireless communication (e.g., radio frequency (RF), and the like) can be used as the transport medium between the external devices and communication port 674. Peripheral interface 654 interfaces the keyboard 650 and mouse 652, permitting input data to be transmitted to bus 656. In addition to these components, system 611 also optionally includes an infrared transmitter and/or infrared receiver. Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission. Instead of utilizing an infrared transmitter or infrared receiver, the computer system may also optionally use a low power radio transmitter 680 and/or a low power radio receiver 682. The low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver. The low power radio transmitter and/or receiver are standard devices in industry.


Although system 611 in FIG. 6 is illustrated having a single processor, a single hard disk drive and a single local memory, system 611 is optionally suitably equipped with any multitude or combination of processors or storage devices. For example, system 611 may be replaced by, or combined with, any suitable processing system operative in accordance with the principles of embodiments of the present invention, including sophisticated calculators, and hand-held, laptop/notebook, mini, mainframe and super computers, as well as processing system network combinations of the same.



FIG. 7 is an illustration of an exemplary computer readable memory medium 784 utilizable for storing computer readable code or instructions. As one example, medium 784 may be used with disk drives illustrated in FIG. 6. Typically, memory media such as floppy disks, or a CD ROM, or a digital video disk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein. Alternatively, ROM 660 and/or RAM 662 illustrated in FIG. 7 can also be used to store the program information that is used to instruct the central processing unit 658 to perform the operations associated with the instant processes. Other examples of suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc. In addition, at least some embodiments of the present invention contemplate that the medium can be in the form of a transmission (e.g., digital or propagated signals).


In general, it should be emphasized that various components of embodiments of the present invention can be implemented in hardware, software or a combination thereof. In such embodiments, the various components and steps are implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using the C, C++, or any assembly language appropriate in view of the processor(s) being used. It could also be written in an interpretive environment such as Java and transported to multiple destinations to various users.


Although various embodiments that incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that incorporate these teachings. All references mentioned herein are incorporated by reference.

Claims
  • 1. A method of film deposition in a chemical vapor deposition (CVD) process, comprising: a) providing a model for CVD deposition of a film that defines a plurality of regions on a wafer and identifies one or more film properties for at least two regions of the wafer and at least one deposition model variable that correlates with the one or more film properties; b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable; c) measuring a film property of at least one of said one or more film properties for the deposited film of step (b) for each of the at least two regions of the wafers wherein each of the two regions is a distinct substantially annular region; (d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
  • 2. The method of claim 1, wherein the CVD deposition process is a plasma CVD process.
  • 3. The method of claim 2, wherein the plasma CVD process is a plasma-enhanced CVD process.
  • 4. The method of claim 1, wherein the film property of step (c) is an average film property.
  • 5. The method of claim 1, wherein the film property comprises film thickness.
  • 6. The method of claim 5, wherein the at least one deposition model variable comprises deposition time.
  • 7. The method of claim 5, wherein the first deposition recipe is based on the model of step (a) to obtain the target wafer thickness profile.
  • 8. The method of claim 5, wherein the film thickness for a region j of a wafer in the ith chamber in the model of step (a) is determined according to the equation: Film_thicknessij=g(x1,x2, . . . xn)·timei
  • 9. The method of claim 1, wherein the first deposition recipe is determined empirically.
  • 10. The method of claim 1, wherein the plurality of regions in the model of step (a) comprises annular regions extending outward from a center point on the wafer.
  • 11. The method of claim 1, wherein the model defines deposition of a plurality of films onto a plurality of wafers in a plurality of deposition chambers.
  • 12. The method of claim 11, wherein the model provides for independent control of at least one deposition parameter for one or more of said plurality of deposition chambers.
  • 13. The method of claim 11, wherein the model provides for common control of at least one deposition parameter for at least two of said plurality of deposition chambers.
  • 14. The method of claim 11, wherein the deposition recipe of step (b) in each chamber is the same.
  • 15. The method of claim 11, wherein the deposition recipe of step (b) in each chamber is different.
  • 16. The method of claim 11, wherein the calculating step of step (e) comprises calculating updated deposition recipes for one or more of said plurality of deposition chambers.
  • 17. The method of claim 1, wherein the model provides for the effect of tool idle time of the deposition process.
  • 18. The method of claim 17, wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
  • 19. The method of claim 17, wherein idle time dependence of the deposition rate is defined as: DRidle=DRno—idle·(d1·tan−1(d2·idle_time+d3)+d4)
  • 20. The method of claim 1, wherein the model evaluates the reliability of a measurement of a film property.
  • 21. The method of claim 20, wherein the model determines when a recent measurement is outside normal operating variation and, if so, marks the data as suspicious, and ignores the data until a trend is determined from subsequent data.
  • 22. The method of claim 1, wherein the model accounts for a tool state of the CVD process.
  • 23. The method of claim 1, wherein the model provides a methodology for describing the effect of film deposition on the film deposition rate of subsequent wafers.
  • 24. The method of claim 1, wherein the step of providing the model comprises: (f) depositing a film on at least one wafer in a deposition step using a deposition recipe comprising at least one deposition recipe parameter that corresponds to a deposition model variable; (g) identifying a plurality of regions on the at least one wafer and measuring a film property for each of the at least one wafers at least two of the plurality of regions after the deposition of step (f); and (h) recording the deposition parameter and measured film property for at least two of the plurality of regions of the at least one wafer on a recordable medium; and (i) fitting the data to a linear or non-linear curve that establishes a relationship between the film property of a region of the film and the deposition model variable.
  • 25. The method of claim 24, wherein the model constrains a deposition parameter to within predetermined maximum and minimum values.
  • 26. The method of claim 2 or 24, wherein the at least one deposition parameter includes one or more of the parameters selected from the group consisting of ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, RF power, chamber pressure and shower head spacing from the wafer.
  • 27. The method of claim 2 or 24, wherein the model for plasma CVD deposition of a film identifies a relationship between a film deposition variable for the wafer and a film property selected from the group consisting of stress, refractive index, dopant concentration, and extinction coefficient.
  • 28. The method of claim 1, wherein updated model is attained by solving the equation: minx⁢f⁡(ysp,g⁡(x))where x is a vector of recipe parameters and other processing parameters corresponding to the deposition recipe; g(x) is the model for the deposition process, ysp is a vector of the one or more film properties; and ƒ(ysp, g(x)) is a penalty function to compensate for the deviation between the model predictions g(x) and the desired thicknesses ysp.
  • 29. The method of claim 1, wherein the CVD deposition process is an in-line process.
  • 30. The method of claim 1, wherein the CVD deposition process is a batch process.
  • 31. A method of determining a model for a film property in a plasma CVD tool, comprising: (a) depositing a film on at least one wafer in a plasma CVD deposition step using a deposition recipe having at least one deposition recipe parameter that corresponds to a deposition model variable; (b) identifying a plurality of regions of the at least one wafer and measuring a film property for the at least one wafers for at least two of the plurality of regions after the deposition of step (a), wherein each of the two regions is a distinct, substantially annular region; (c) recording the deposition parameter and the measured film property for at least two of the plurality of regions for the at least one wafer on a recordable medium; and (d) fitting the data to a linear or non-linear curve that establishes a relationship between the film property of a region of the wafer and the deposition model.
  • 32. The method of claim 31, wherein the film property of interest is selected from the group consisting of film thickness, stress, refractive index, dopant concentration and extinction coefficient.
  • 33. The method of claim 31, wherein the at least one deposition parameter comprises one or more parameters selected from the group consisting of deposition time, ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, RF power, chamber pressure and shower head spacing from the wafer.
  • 34. The method of claim 31, wherein the model constrains a deposition parameter to be within predetermined maximum and minimum values.
  • 35. A plasma chemical vapor deposition (CVD) tool for deposition of a film, comprising: a plasma CVD) apparatus comprising a chamber, a vacuum system, an RF generator for generating a source plasma, and a gas delivery system; controlling means capable of controlling an operating parameter of the deposition process; and a controller operatively coupled to the controlling means, the controller operating the controlling means to adjust the operating parameter of the deposition process as a function of a model for a film property, the model comprising: a deposition model for plasma CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties, wherein the model defines a plurality of regions on a wafer and identifies a deposition variable and a film property for each of at least two regions of the wafer, wherein each of the two regions is a distinct, substantially annular region.
  • 36. The tool of claim 35, wherein the operating parameter comprises a parameter selected from the group consisting of deposition time, ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, RF power, chamber pressure and shower head spacing from the wafer.
  • 37. The tool of claim 35, wherein the film property is selected from the group consisting of film thickness, stress, refractive index, dopant concentration, and extinction coefficient.
  • 38. The tool of claim 35, wherein the model defines deposition of a plurality of films onto a plurality of wafers in a plurality of deposition chambers.
  • 39. The tool of claim 38, wherein the model provides for independent control of at least one operating parameter for one or more of said plurality of deposition chambers.
  • 40. The tool of claim 38, wherein model provides for common control of at least one operating parameter for at least two of said plurality of deposition chambers.
  • 41. The tool of claim 38, wherein the deposition recipe of step (b) in each chamber is the same.
  • 42. The tool of claim 38, wherein the deposition recipe of step (b) in each chamber is different.
  • 43. The tool of claim 38, wherein the calculating step of step (e) comprises calculating updated deposition recipes for one or more of the plurality of deposition chambers.
  • 44. The tool of claim 38, wherein the model provides for the effect of tool idle time of the deposition process.
  • 45. The tool of claim 44, wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
  • 46. The tool of claim 35, wherein the model evaluates the reliability of a measurement of a film property.
  • 47. The tool of claim 35, wherein the model provides methodology to describe for the effect of film deposition on the film deposition rate of subsequent wafers.
  • 48. A method of film deposition in a plasma chemical vapor deposition (CVD) process, comprising: a) providing a model for plasma CVD deposition of a film that identifies one or more film properties for the wafer and at least one deposition model variable that correlates with the film property; b) depositing a film onto a wafer using a first deposition recipe using a deposition recipe comprising at least one deposition parameter that corresponds to at least one deposition variable; c) measuring, in at least two distinct, substantially annular regions, the film property for at least one of said one or more film properties for the deposited film of step (b) for the wafer; d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property profile.
  • 49. The method of claim 48, wherein the film property of step (c) is an average film property.
  • 50. The method of claim 48, wherein the plasma CVD process is a plasma-enhanced CVD process.
  • 51. The method of claim 48, wherein the model defines deposition of a plurality of films onto a plurality of wafers in a plurality of deposition chambers.
  • 52. The method of claim 51, wherein the model provides for independent control of at least one deposition parameter for one or more of said plurality of deposition chambers.
  • 53. The method of claim 51, wherein model provides for common control of at least one deposition parameter for at least two of said plurality of deposition chambers.
  • 54. The method of claim 51, wherein the deposition recipe of step (b) in each chamber is the same.
  • 55. The method of claim 51, wherein the deposition recipe of step (b) in each chamber is different.
  • 56. The method of claim 51, wherein the calculating step of step (e) comprises calculating updated deposition recipes for one or more of said plurality of deposition chambers.
  • 57. The method of claim 48, wherein the model provides for the effect of tool idle time of the deposition process.
  • 58. The method of claim 57, wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
  • 59. The method of claim 48, wherein the deposition parameter comprises a parameter selected from the group consisting of deposition time, ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, RF power, chamber pressure and shower head spacing from the wafer.
  • 60. The method of claim 48, wherein the film property is selected from the group consisting of film thickness, stress, refractive index, dopant concentration, and extinction coefficient.
  • 61. A computer readable medium comprising instructions being executed by a computer, the instructions including a computer-implemented software application of a chemical vapor deposition (CVD) process, the instructions for implementing the process comprising: (a) receiving data from a CVD tool relating to a deposition parameter and a measured film property for at least two of a plurality of regions for at least one wafer, wherein each of the two regions is a distinct, substantially annular region; (b) calculating, from the data of step (a), a deposition model, wherein the model is calculated by determining the relationship between the film property of a region of a wafer and the deposition parameter.
  • 62. The medium of claim 61, further comprising: c) calculating, using the updated model of step (b) and a target output value for the film property, an updated deposition recipe.
  • 63. The medium of claim 61, wherein the data of step (a) further includes one or more deposition parameters selected from the group consisting of deposition time, ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, RF power, chamber pressure and shower head spacing from the wafer.
  • 64. The medium of claim 61, wherein the film property is selected from the group consisting of film thickness, stress, refractive index, dopant concentration, and extinction coefficient.
  • 65. The medium of claim 61, wherein the model provides for independent control of at least one deposition parameter for each deposition chamber.
  • 66. The medium of claim 61, wherein the model constrains a deposition parameter to be within predetermined maximum and minimum values.
  • 67. A chemical vapor deposition (CVD) tool for deposition of a film, comprising: a) modeling means for defining a plurality of regions on a wafer and identifying one or more film properties for at least two of the regions of the wafer; b) means for depositing a film onto a wafer using a first deposition recipe comprising at least one deposition parameter, wherein the at least one deposition parameter corresponds to a deposition model variable; c) means for measuring a film property for at least one of said one or more film properties for the deposited film of step (b) for at least two regions of the wafer, wherein each of the two regions is a distinct, substantially annular region; d) means for calculating an updated model based upon the measured film property of step (c) and the model of step (a); and e) means for calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
  • 68. The CVD tool of claim 67, wherein the CVD process is a plasma CVD process.
  • 69. The CVD tool of claim 67, wherein the model defines deposition of a plurality of films onto a plurality of wafers in a plurality of deposition chambers.
  • 70. The CVD tool of claim 69, wherein the model provides for independent control of at least one deposition parameter for at least two of said plurality of deposition chambers.
  • 71. The CVD tool of claim 69, wherein model provides for common control of at least one deposition parameter for at least two of said plurality of deposition chambers.
  • 72. The CVD tool of claim 69, wherein the deposition recipe of step (b) in each chamber is the same.
  • 73. The CVD tool of claim 69, wherein the deposition recipe of step (b) in each chamber is different.
  • 74. The CVD tool of claim 69, wherein the calculating step of step (e) comprises calculating updated deposition recipes for at least two of said plurality of deposition chambers.
  • 75. The CVD tool of claim 69, wherein the model provides for the effect of tool idle time of the deposition process.
  • 76. The CVD tool of claim 75, wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
  • 77. method of film deposition in a chemical vapor deposition (CVD) process, comprising: a) providing a model for CVD deposition of a film that identifies one or more film properties and at least one deposition model variable that correlates with the one or more film properties and that provides for the effect of tool idle time on the deposition process; b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable; c) measuring a film property of at least one of said one or more film properties for the deposited film of step (b); (d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
  • 78. The method of claim 77, wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
  • 79. The method of claim 77, wherein idle time dependence of the deposition rate is defined as: DRidle=DRno—idle·(d1·tan−1(d2·idle_time+d3)+d4)
  • 80. A method of film deposition in a chemical vapor deposition (CVD) process, comprising: a) providing a model for CVD deposition of a film that identifies one or more film properties and at least one deposition model variable that correlates with the one or more film properties and that evaluates the reliability of a measurement of a film property; b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable; c) measuring a film property of at least one of said one or more film properties for the deposited film of step (b); (d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
  • 81. The method of claim 80, wherein the model determines when a recent measurement is outside normal operating variation and, if so, marks the data as suspicious, and ignores the data until a trend is determined from subsequent data.
RELATED APPLICATIONS

This application claims priority under 35 U.S.C. §119(e) from provisional application Ser. No. 60/298,878 filed Jun. 19, 2001, which is incorporated by reference. This application claims priority under 35 U.S.C. §119(e) from provisional application Ser. No. 60/349,576 filed Oct. 29, 2001, which is incorporated by reference. This application claims priority under 35 U.S.C. § 119(e) from provisional application Ser. No. 60/366,699 filed Mar. 21, 2002, which is incorporated by reference. This application is related to co-pending application filed on even date herewith entitled “Feedback Control of Sub-Atmospheric Chemical Vapor Deposition Process,” which is incorporated by reference.

US Referenced Citations (357)
Number Name Date Kind
3205485 Noltingk Sep 1965 A
3229198 Libby Jan 1966 A
3767900 Chao et al. Oct 1973 A
3920965 Sohrwardy Nov 1975 A
4000458 Miller et al. Dec 1976 A
4207520 Flora et al. Jun 1980 A
4209744 Gerasimov et al. Jun 1980 A
4302721 Urbanek et al. Nov 1981 A
4368510 Anderson Jan 1983 A
4609870 Lale et al. Sep 1986 A
4616308 Morshedi et al. Oct 1986 A
4663703 Axelby et al. May 1987 A
4698766 Entwistle et al. Oct 1987 A
4750141 Judell et al. Jun 1988 A
4755753 Chern Jul 1988 A
4757259 Charpentier Jul 1988 A
4796194 Atherton Jan 1989 A
4901218 Cornwell Feb 1990 A
4938600 Into Jul 1990 A
4957605 Hurwitt et al. Sep 1990 A
4967381 Lane et al. Oct 1990 A
4974543 Jansen Dec 1990 A
5089970 Lee et al. Feb 1992 A
5108570 Wang Apr 1992 A
5208765 Turnbull May 1993 A
5220517 Sierk et al. Jun 1993 A
5226118 Baker et al. Jul 1993 A
5231585 Kobayashi et al. Jul 1993 A
5236868 Nulman Aug 1993 A
5240552 Yu et al. Aug 1993 A
5260868 Gupta et al. Nov 1993 A
5270222 Moslehi Dec 1993 A
5283141 Yoon et al. Feb 1994 A
5295242 Mashruwala et al. Mar 1994 A
5309221 Fischer et al. May 1994 A
5329463 Sierk et al. Jul 1994 A
5338630 Yoon et al. Aug 1994 A
5347446 Iino et al. Sep 1994 A
5367624 Cooper Nov 1994 A
5369544 Mastrangelo Nov 1994 A
5375064 Bollinger Dec 1994 A
5398336 Tantry et al. Mar 1995 A
5402367 Sullivan et al. Mar 1995 A
5408405 Mozumder et al. Apr 1995 A
5410473 Kaneko et al. Apr 1995 A
5420796 Weling et al. May 1995 A
5427878 Corliss Jun 1995 A
5444837 Bomans et al. Aug 1995 A
5469361 Moyne Nov 1995 A
5485082 Wisspeintner et al. Jan 1996 A
5490097 Swenson et al. Feb 1996 A
5495417 Fuduka et al. Feb 1996 A
5497316 Sierk et al. Mar 1996 A
5497381 O'Donoghue et al. Mar 1996 A
5503707 Maung et al. Apr 1996 A
5508947 Sierk et al. Apr 1996 A
5511005 Abbe et al. Apr 1996 A
5519605 Cawlfield May 1996 A
5525808 Irie et al. Jun 1996 A
5526293 Mozumder et al. Jun 1996 A
5534289 Bilder et al. Jul 1996 A
5541510 Danielson Jul 1996 A
5546312 Mozumder et al. Aug 1996 A
5553195 Meijer Sep 1996 A
5586039 Hirsch et al. Dec 1996 A
5599423 Parker et al. Feb 1997 A
5602492 Cresswell et al. Feb 1997 A
5603707 Trombetta et al. Feb 1997 A
5617023 Skalski Apr 1997 A
5621241 Jain Apr 1997 A
5627083 Tounai May 1997 A
5629216 Wijaranakula et al. May 1997 A
5642296 Saxena Jun 1997 A
5646870 Krivokapic et al. Jul 1997 A
5649169 Berezin et al. Jul 1997 A
5654903 Reitman et al. Aug 1997 A
5655951 Meikle et al. Aug 1997 A
5657254 Sierk et al. Aug 1997 A
5660895 Lee et al. Aug 1997 A
5661669 Mozumder et al. Aug 1997 A
5663797 Sandhu Sep 1997 A
5664987 Renteln Sep 1997 A
5665199 Sahota et al. Sep 1997 A
5665214 Iturralde Sep 1997 A
5666297 Britt et al. Sep 1997 A
5667424 Pan Sep 1997 A
5674787 Zhao et al. Oct 1997 A
5694325 Fukuda et al. Dec 1997 A
5695810 Dubin et al. Dec 1997 A
5698989 Nulman Dec 1997 A
5719495 Moslehi Feb 1998 A
5719796 Chen Feb 1998 A
5735055 Hochbein et al. Apr 1998 A
5740429 Wang et al. Apr 1998 A
5751582 Saxena et al. May 1998 A
5754297 Nulman May 1998 A
5761064 La et al. Jun 1998 A
5761065 Kittler et al. Jun 1998 A
5764543 Kennedy Jun 1998 A
5777901 Berezin et al. Jul 1998 A
5787021 Samaha Jul 1998 A
5787269 Hyodo Jul 1998 A
5808303 Schlagheck et al. Sep 1998 A
5812407 Sato et al. Sep 1998 A
5823854 Chen Oct 1998 A
5824599 Schacham-Diamand et al. Oct 1998 A
5825356 Habib et al. Oct 1998 A
5825913 Rostami et al. Oct 1998 A
5828778 Hagi et al. Oct 1998 A
5831851 Eastburn et al. Nov 1998 A
5832224 Fehskens et al. Nov 1998 A
5838595 Sullivan et al. Nov 1998 A
5838951 Song Nov 1998 A
5844554 Geller et al. Dec 1998 A
5857258 Penzes et al. Jan 1999 A
5859777 Yokoyama et al. Jan 1999 A
5859964 Wang et al. Jan 1999 A
5859975 Brewer et al. Jan 1999 A
5862054 Li Jan 1999 A
5863807 Jang et al. Jan 1999 A
5867389 Hamada et al. Feb 1999 A
5870306 Harada Feb 1999 A
5871805 Lemelson Feb 1999 A
5874345 Coronel et al. Feb 1999 A
5883437 Maruyama et al. Mar 1999 A
5889991 Consolatti et al. Mar 1999 A
5901313 Wolf et al. May 1999 A
5903455 Sharpe, Jr. et al. May 1999 A
5910011 Cruse Jun 1999 A
5910846 Sandhu Jun 1999 A
5912678 Saxena et al. Jun 1999 A
5916016 Bothra Jun 1999 A
5923553 Yi Jul 1999 A
5926690 Toprac et al. Jul 1999 A
5930138 Lin et al. Jul 1999 A
5937323 Orcyzk et al. Aug 1999 A
5940300 Ozaki Aug 1999 A
5943237 Van Boxem Aug 1999 A
5943550 Fulford, Jr. et al. Aug 1999 A
5960185 Nguyen Sep 1999 A
5960214 Sharpe, Jr. et al. Sep 1999 A
5961369 Bartels et al. Oct 1999 A
5963881 Kahn et al. Oct 1999 A
5975994 Sandhu et al. Nov 1999 A
5978751 Pence et al. Nov 1999 A
5982920 Tobin, Jr. et al. Nov 1999 A
6002989 Shiba et al. Dec 1999 A
6012048 Gustin et al. Jan 2000 A
6017771 Yang et al. Jan 2000 A
6036349 Gombar Mar 2000 A
6037664 Zhao et al. Mar 2000 A
6041263 Boston et al. Mar 2000 A
6041270 Steffan et al. Mar 2000 A
6054379 Yau et al. Apr 2000 A
6059636 Inaba et al. May 2000 A
6064759 Buckley et al. May 2000 A
6072313 Li et al. Jun 2000 A
6074443 Venkatesh et al. Jun 2000 A
6077412 Ting et al. Jun 2000 A
6078845 Friedman Jun 2000 A
6094688 Mellen-Garnett et al. Jul 2000 A
6096649 Jang Aug 2000 A
6097887 Hardikar et al. Aug 2000 A
6100195 Chan et al. Aug 2000 A
6108092 Sandhu Aug 2000 A
6111634 Pecen et al. Aug 2000 A
6112130 Fukuda et al. Aug 2000 A
6113462 Yang Sep 2000 A
6114238 Liao Sep 2000 A
6127263 Parikh Oct 2000 A
6128016 Coelho et al. Oct 2000 A
6136163 Cheung et al. Oct 2000 A
6141660 Bach et al. Oct 2000 A
6143646 Wetzel Nov 2000 A
6148099 Lee et al. Nov 2000 A
6148239 Funk et al. Nov 2000 A
6148246 Kawazome Nov 2000 A
6150270 Matsuda et al. Nov 2000 A
6157864 Schwenke et al. Dec 2000 A
6159075 Zhang Dec 2000 A
6159644 Satoh et al. Dec 2000 A
6161054 Rosenthal et al. Dec 2000 A
6169931 Runnels Jan 2001 B1
6172756 Chalmers et al. Jan 2001 B1
6173240 Sepulveda et al. Jan 2001 B1
6175777 Kim Jan 2001 B1
6178390 Jun Jan 2001 B1
6181013 Liu et al. Jan 2001 B1
6183345 Kamono et al. Feb 2001 B1
6185324 Ishihara et al. Feb 2001 B1
6191864 Sandhu Feb 2001 B1
6192291 Kwon Feb 2001 B1
6197604 Miller et al. Mar 2001 B1
6201208 Wendt et al. Mar 2001 B1
6204165 Ghoshal Mar 2001 B1
6210983 Atchison et al. Apr 2001 B1
6211094 Jun et al. Apr 2001 B1
6212961 Dvir Apr 2001 B1
6214734 Bothra et al. Apr 2001 B1
6217412 Campbell et al. Apr 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6219711 Chari Apr 2001 B1
6222936 Phan et al. Apr 2001 B1
6226563 Lim May 2001 B1
6226792 Goiffon et al. May 2001 B1
6228280 Li et al. May 2001 B1
6230069 Campbell et al. May 2001 B1
6232236 Shan et al. May 2001 B1
6236903 Kim et al. May 2001 B1
6237050 Kim et al. May 2001 B1
6240330 Kurtzberg et al. May 2001 B1
6240331 Yun May 2001 B1
6245581 Bonser et al. Jun 2001 B1
6246972 Klimasauskas Jun 2001 B1
6248602 Bode et al. Jun 2001 B1
6249712 Boiquaye Jun 2001 B1
6252412 Talbot et al. Jun 2001 B1
6253366 Mutschler, III Jun 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6263255 Tan et al. Jul 2001 B1
6268270 Scheid et al. Jul 2001 B1
6271670 Caffey Aug 2001 B1
6276989 Campbell et al. Aug 2001 B1
6277014 Chen et al. Aug 2001 B1
6278899 Piche et al. Aug 2001 B1
6280289 Wiswesser et al. Aug 2001 B1
6281127 Shue Aug 2001 B1
6284622 Campbell et al. Sep 2001 B1
6287879 Gonzales et al. Sep 2001 B1
6290572 Hofmann Sep 2001 B1
6291367 Kelkar Sep 2001 B1
6292708 Allen et al. Sep 2001 B1
6298274 Inoue Oct 2001 B1
6298470 Breiner et al. Oct 2001 B1
6303395 Nulman Oct 2001 B1
6304999 Toprac et al. Oct 2001 B1
6307628 Lu et al. Oct 2001 B1
6314379 Hu et al. Nov 2001 B1
6317643 Dmochowski Nov 2001 B1
6320655 Matsushita et al. Nov 2001 B1
6324481 Atchison et al. Nov 2001 B1
6334807 Lebel et al. Jan 2002 B1
6336841 Chang Jan 2002 B1
6339727 Ladd Jan 2002 B1
6340602 Johnson et al. Jan 2002 B1
6345288 Reed et al. Feb 2002 B1
6345315 Mishra Feb 2002 B1
6346426 Toprac et al. Feb 2002 B1
6355559 Havemann et al. Mar 2002 B1
6360133 Campbell et al. Mar 2002 B1
6360184 Jacquez Mar 2002 B1
6363294 Coronel et al. Mar 2002 B1
6366934 Cheng et al. Apr 2002 B1
6368879 Toprac Apr 2002 B1
6368883 Bode et al. Apr 2002 B1
6368884 Goodwin et al. Apr 2002 B1
6379980 Toprac Apr 2002 B1
6381564 Davis et al. Apr 2002 B1
6388253 Su May 2002 B1
6389491 Jacobson et al. May 2002 B1
6391780 Shih et al. May 2002 B1
6395152 Wang May 2002 B1
6397114 Eryurek et al. May 2002 B1
6400162 Mallory et al. Jun 2002 B1
6405096 Toprac et al. Jun 2002 B1
6405144 Toprac et al. Jun 2002 B1
6417014 Lam et al. Jul 2002 B1
6427093 Toprac Jul 2002 B1
6432728 Tai et al. Aug 2002 B1
6435952 Boyd et al. Aug 2002 B1
6438438 Takagi et al. Aug 2002 B1
6440295 Wang Aug 2002 B1
6442496 Pasadyn et al. Aug 2002 B1
6449524 Miller et al. Sep 2002 B1
6455415 Lopatin et al. Sep 2002 B1
6455937 Cunningham Sep 2002 B1
6465263 Coss, Jr. et al. Oct 2002 B1
6470230 Toprac et al. Oct 2002 B1
6479902 Lopatin et al. Nov 2002 B1
6479990 Mednikov et al. Nov 2002 B2
6482660 Conchieri et al. Nov 2002 B2
6484064 Campbell Nov 2002 B1
6486492 Su Nov 2002 B1
6492281 Song et al. Dec 2002 B1
6495452 Shih Dec 2002 B1
6503839 Gonzales et al. Jan 2003 B2
6515368 Lopatin et al. Feb 2003 B1
6517413 Hu et al. Feb 2003 B1
6517414 Tobin et al. Feb 2003 B1
6528409 Lopatin et al. Mar 2003 B1
6529789 Campbell et al. Mar 2003 B1
6532555 Miller et al. Mar 2003 B1
6535783 Miller et al. Mar 2003 B1
6537912 Agarwal Mar 2003 B1
6540591 Pasadyn et al. Apr 2003 B1
6541401 Herner et al. Apr 2003 B1
6546508 Sonderman et al. Apr 2003 B1
6556881 Miller Apr 2003 B1
6560504 Goodwin et al. May 2003 B1
6563308 Nagano et al. May 2003 B2
6567717 Krivokapic et al. May 2003 B2
6580958 Takano Jun 2003 B1
6587744 Stoddard et al. Jul 2003 B1
6590179 Tanaka et al. Jul 2003 B2
6604012 Cho et al. Aug 2003 B1
6605549 Leu et al. Aug 2003 B2
6607976 Chen et al. Aug 2003 B2
6609946 Tran Aug 2003 B1
6616513 Osterheld Sep 2003 B1
6618692 Takahashi et al. Sep 2003 B2
6624075 Lopatin et al. Sep 2003 B1
6625497 Fairbairn et al. Sep 2003 B2
6630741 Lopatin et al. Oct 2003 B1
6640151 Somekh et al. Oct 2003 B1
6652355 Wiswesser et al. Nov 2003 B2
6660633 Lopatin et al. Dec 2003 B1
6678570 Pasadyn et al. Jan 2004 B1
6708074 Chi et al. Mar 2004 B1
6708075 Sonderman et al. Mar 2004 B2
6725402 Coss, Jr. et al. Apr 2004 B1
6728587 Goldman et al. Apr 2004 B2
6735492 Conrad et al. May 2004 B2
6751518 Sonderman et al. Jun 2004 B1
6774998 Wright et al. Aug 2004 B1
20010001755 Sandhu et al. May 2001 A1
20010003084 Finarov Jun 2001 A1
20010006873 Moore Jul 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010039462 Mendez et al. Nov 2001 A1
20010040997 Tsap et al. Nov 2001 A1
20010042690 Talieh Nov 2001 A1
20010044667 Nakano et al. Nov 2001 A1
20020032499 Wilson et al. Mar 2002 A1
20020058460 Lee et al. May 2002 A1
20020070126 Sato et al. Jun 2002 A1
20020077031 Johansson et al. Jun 2002 A1
20020081951 Boyd et al. Jun 2002 A1
20020089676 Pecen et al. Jul 2002 A1
20020102853 Li et al. Aug 2002 A1
20020107599 Patel et al. Aug 2002 A1
20020107604 Riley et al. Aug 2002 A1
20020113039 Mok et al. Aug 2002 A1
20020127950 Hirose et al. Sep 2002 A1
20020128805 Goldman et al. Sep 2002 A1
20020149359 Crouzen et al. Oct 2002 A1
20020165636 Hasan Nov 2002 A1
20020183986 Stewart et al. Dec 2002 A1
20020185658 Inoue et al. Dec 2002 A1
20020193899 Shanmugasundram et al. Dec 2002 A1
20020193902 Shanmugasundram et al. Dec 2002 A1
20020197745 Shanmugasundram et al. Dec 2002 A1
20020197934 Paik Dec 2002 A1
20020199082 Shanmugasundram et al. Dec 2002 A1
20030017256 Shimane Jan 2003 A1
20030020909 Adams et al. Jan 2003 A1
20030020928 Ritzdorf et al. Jan 2003 A1
20030154062 Daft et al. Aug 2003 A1
Foreign Referenced Citations (81)
Number Date Country
2165847 Mar 1992 CA
2194855 Mar 1992 CA
0 397 924 Nov 1990 EP
0 621 522 Oct 1994 EP
0 747 795 Dec 1996 EP
0 869 652 Oct 1998 EP
0 877 308 Nov 1998 EP
0 881 040 Dec 1998 EP
0 895 145 Feb 1999 EP
0 910 123 Apr 1999 EP
0 932 194 Jul 1999 EP
0 932 195 Jul 1999 EP
1 066 925 Jan 2001 EP
1 067 757 Jan 2001 EP
1 071 128 Jan 2001 EP
1 083 470 Mar 2001 EP
1 092 505 Apr 2001 EP
1072967 Nov 2001 EP
1 182 526 Feb 2002 EP
2 347 885 Sep 2000 GB
2 365 215 Feb 2002 GB
61-66104 Apr 1986 JP
61-171147 Aug 1986 JP
01-283934 Nov 1989 JP
2050247 Aug 1991 JP
3-202710 Sep 1991 JP
05-151231 Jun 1993 JP
05-216896 Aug 1993 JP
05-266029 Oct 1993 JP
06-110894 Apr 1994 JP
06-176994 Jun 1994 JP
06-184434 Jul 1994 JP
06-252236 Sep 1994 JP
06-260380 Sep 1994 JP
8-23166 Jan 1996 JP
08-50161 Feb 1996 JP
08-149583 Jun 1996 JP
08-304023 Nov 1996 JP
09-34535 Feb 1997 JP
9-246547 Sep 1997 JP
10-34522 Feb 1998 JP
10-173029 Jun 1998 JP
11-67853 Mar 1999 JP
11-126816 May 1999 JP
11-135601 May 1999 JP
2000-183001 Jun 2000 JP
2001-76982 Mar 2001 JP
2001-284299 Oct 2001 JP
2001-305108 Oct 2001 JP
2002-9030 Jan 2002 JP
2002-343754 Nov 2002 JP
434103 May 2001 TW
436383 May 2001 TW
455938 Sep 2001 TW
455976 Sep 2001 TW
WO 9534866 Dec 1995 WO
WO 9805066 Feb 1998 WO
WO 9845090 Oct 1998 WO
WO 9909371 Feb 1999 WO
WO 9925520 May 1999 WO
WO 9959200 Nov 1999 WO
WO 0000874 Jan 2000 WO
WO 0005759 Feb 2000 WO
WO 0035063 Jun 2000 WO
WO 0054325 Sep 2000 WO
WO 0079355 Dec 2000 WO
WO 0111679 Feb 2001 WO
WO 0115865 Mar 2001 WO
WO 0118623 Mar 2001 WO
WO 0125865 Apr 2001 WO
WO 0133277 May 2001 WO
WO 0133501 May 2001 WO
WO 0152055 Jul 2001 WO
WO 0152319 Jul 2001 WO
WO 0157823 Aug 2001 WO
WO 01080306 Oct 2001 WO
WO 0217150 Feb 2002 WO
WO 0231613 Apr 2002 WO
WO 0231613 Apr 2002 WO
WO 0233737 Apr 2002 WO
WO 02074491 Sep 2002 WO
Related Publications (1)
Number Date Country
20030049390 A1 Mar 2003 US
Provisional Applications (3)
Number Date Country
60298878 Jun 2001 US
60349576 Oct 2001 US
60366699 Mar 2002 US