Formation of SiOCN thin films

Information

  • Patent Grant
  • 11996284
  • Patent Number
    11,996,284
  • Date Filed
    Friday, August 13, 2021
    3 years ago
  • Date Issued
    Tuesday, May 28, 2024
    10 months ago
Abstract
Methods for depositing silicon oxycarbonitride (SiOCN) thin films on a substrate in a reaction space are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a silicon precursor and a second reactant that does not include oxygen. In some embodiments the methods allow for the deposition of SiOCN films having improved acid-based wet etch resistance.
Description
BACKGROUND
Field of the Invention

The present disclosure relates generally to the field of semiconductor device manufacturing and, more particularly, to formation of silicon oxycarbonitride (SiOCN) films having desirable chemical resistance properties.


Description of the Related Art

There is increasing need for dielectric materials with relatively low dielectric constant (k) values and relatively low acid-based wet etch rates. Silicon oxycarbonitride may satisfy certain of these requirements. Typically, deposition processes for SiOCN require precursors comprising halides and/or oxygen plasma.


SUMMARY OF THE INVENTION

In some embodiments plasma enhanced atomic layer deposition (PEALD) processes are provided for forming a silicon oxycarbonitride (SiOCN) thin film on a substrate in a reaction space. In some embodiments a PEALD process may comprise at least one deposition cycle comprising contacting a surface of the substrate with a vapor phase silicon precursor to on the surface of the substrate, contacting the adsorbed silicon species with at least one reactive species generated by plasma formed from a gas that does not comprise oxygen, and optionally repeating the contacting steps until a SiOCN film of a desired thickness has been formed. In some embodiments the silicon precursor use in a PEALD process has a formula as in one of the following general formulas:

(RIO)4-xSi(RII—NH2)x  (1)

    • wherein x is an integer from 1 to 4;
    • RI is independently selected from the group consisting of alkyl; and
    • RII is an independently selected hydrocarbon;

      (RIO)3Si—RII—NH2  (2)
    • wherein RI is independently selected from the group consisting of alkyl; and
    • RII is an independently selected hydrocarbon; and

      (RIO)4-xSi(—[CH2]n—NH2)x  (3)
    • wherein x is an integer from 1 to 4;
    • n is an integer from 1-5; and
    • RI is independently selected from the group consisting of alkyl.


In some embodiments a ratio of a wet etch rate of the SiOCN thin film to a wet etch rate of thermal silicon oxide may be less than about 5. In some embodiments a ratio of a wet etch rate of the SiOCN thin film to a wet etch rate of thermal silicon oxide may be less than about 0.3. In some embodiments a ratio of a wet etch rate of the SiOCN thin film to a wet etch rate of thermal silicon oxide may be less than about 0.1.


In some embodiments the SiOCN thin film may be deposited on a three-dimensional structure on the substrate. In some embodiments a wet etch rate ratio of a wet etch rate of SiOCN formed on a top surface of the three-dimensional structure to a wet etch rate of the SiOCN formed on a sidewall surface of the three-dimensional structure may be about 1:1 in dilute HF.


In some embodiments the vapor phase silicon precursor may not comprise a halogen. In some embodiments the silicon precursor may comprise (3-aminopropyl)trimethoxysilane (APTMS). In some embodiments the reactive species may comprise hydrogen plasma, hydrogen atoms, hydrogen radicals, or hydrogen ions. In some embodiments the reactive species may be generated from a second reactant comprising a noble gas. In some embodiments the reactive species may further comprise nitrogen plasma, nitrogen atoms, nitrogen radicals, or nitrogen ions. In some embodiments the reactive species may be generated by plasma from a second reactant comprising hydrogen. In some embodiments the second reactant may comprise H2.


In some embodiments the SiOCN thin film may comprise at least 20 at % oxygen. In some embodiments the SiOCN thin film may comprise at least 5 at % carbon. In some embodiments the SiOCN thin film may comprise at least 5 at % nitrogen.


In some embodiments processes are provided for forming a silicon oxycarbonitride (SiOCN) thin film on a substrate in a reaction space. In some embodiments a process may comprise a plurality of deposition cycles, at least one deposition cycle may comprise alternately and sequentially contacting a surface of the substrate with a silicon precursor and a second reactant comprising at least one reactive species. In some embodiments a deposition cycle may be repeated two or more times to form the SiOCN thin film. In some embodiments the silicon precursor may have a general formula:

LnSi(ORI)4-x-y-z-n(RIINRIIIRIV)xHy(OH)z

    • wherein n is an integer from 0 to 3, x is an integer from 1 to 4, y is an integer from 0 to 3, z is an integer from 0 to 3; and 4-x-y-z-n is from 0 to 3;
    • RI is independently selected from the group consisting of alkyl;
    • RII is an independently hydrocarbon;
    • RIII and RIV are independently selected from the group consisting of alkyl and hydrogen; and
    • L is independently selected from the group consisting of alkyl and halogens.


In some embodiments the at least one reactive species may be generated by plasma formed from a gas that does not comprise oxygen.


In some embodiments the silicon precursor may have a general formula:

LnSi(ORI)4-x-n(RIINRIIIRIV)x

    • wherein n is an integer from 0 to 3, x is an integer from 1 to 3;
    • L is independently selected from the group consisting of alkyl and halogens;
    • RI is independently selected from the group consisting of alkyl;
    • RII is an independently selected hydrocarbon; and
    • RIII and RIV are independently selected from the group consisting of alkyl and hydrogen.


In some embodiments the silicon precursor may have a general formula:

Si(ORI)4-x-y-z(RIINRIIIRIV)xHy(OH)z

    • wherein x is an integer from 1 to 4, y is an integer from 0 to 3, z is an integer from 0 to 3;
    • RI is independently selected from the group consisting of alkyl;
    • RII is an independently selected hydrocarbon; and
    • RIII and RIV are independently selected from the group consisting of alkyl and hydrogen.


In some embodiments the silicon precursor may have a general formula:

Si(ORI)4-x(RIINRIIIRIV)x

    • wherein x is an integer from 1 to 4;
    • RI is independently selected from the group consisting of alkyl;
    • RII is an independently selected hydrocarbon; and
    • RIII and RIV are independently selected from the group consisting of alkyl and hydrogen.


In some embodiments the silicon precursor may comprise APTMS. In some embodiments at least one deposition cycle may be a PEALD cycle. In some embodiments a reactive species may be generated by applying RF power of from about 100 Watts (W) to about 1000 W to the second reactant. In some embodiments a deposition cycle may be carried out at a process temperature of about 300° C. to about 400° C. In some embodiments the deposition cycle may be carried out at a process temperature of less than about 100° C. In some embodiments the substrate may comprise an organic material.


In some embodiments processes are provided for depositing a silicon oxycarbonitride (SiOCN) thin film on a substrate in a reaction space. In some embodiments such a process may comprise contacting a surface of the substrate with a silicon precursor comprising at least one ligand bonded through carbon to a silicon atom and containing an NH2-group attached to a carbon chain and at least one ligand bonded to the silicon atom through an oxygen atom and in which an alkyl group is bonded to the oxygen atom. In some embodiments a process may further comprise exposing the substrate to a purge gas and/or vacuum to remove excess titanium reactant and reaction byproducts, if any, contacting a surface of the substrate with a second reactant comprising hydrogen, wherein the second reactant comprises at least one reactive species generated by plasma, exposing the substrate to a purge gas and/or vacuum to remove excess second reactant and reaction byproducts, if any, and repeating the contacting steps until a SiOCN thin film of desired thickness has been formed.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a process flow diagram for depositing a silicon oxycarbonitride (SiOCN) thin film by a plasma enhanced atomic layer deposition (PEALD) process according to some embodiments of the present disclosure.



FIG. 2 illustrates film growth per cycle (GPC), refractive index, and wet etch rate ratio (WERR) as a function of second reactant gas mixture ratio for SiOCN thin films deposited according to some embodiments of the present disclosure.



FIG. 3 illustrates etch amount (dHF wet etch) versus etch time for thermal oxide (TOX) and a SiOCN thin film deposited according to some embodiments of the present disclosure.



FIG. 4 is a compositional X-ray photoelectron spectroscopy (XPS) depth profile for a SiOCN thin film deposited according to some embodiments of the present disclosure.



FIGS. 5A-B illustrate transmission electron microscope (TEM) images of a SiOCN thin film deposited according to some embodiments of the present disclosure before and after exposure to a 2-minute dHF dip.



FIGS. 5C-D illustrate transmission electron microscope (TEM) images of a SiOCN thin film deposited according to some embodiments of the present disclosure before and after exposure to a 2-minute dHF dip.





DETAILED DESCRIPTION

Silicon oxycarbonitride (SiOCN) films have a wide variety of applications, as will be apparent to the skilled artisan, for example in integrated circuit fabrication. More specifically, SiOCN films that display a low etch rate have a wide variety of application, both in the semiconductor industry and outside of the semiconductor industry. SiOCN films may be useful as, for example, etch stop layers, sacrificial layers, low-k spacers, anti-reflection layers (ARL), and passivation layers.


According to some embodiments of the present disclosure, various SiOCN films, precursors, and methods for depositing said films are provided. In some embodiments the SiOCN films have a relatively low wet etch rate, for example in dHF.


In some embodiments SiOCN thin films are deposited on a substrate by plasma-enhanced atomic layer deposition (PEALD) processes. In some embodiments SiOCN thin films are not deposited by liquid phase methods. In some embodiments a SiOCN thin film is deposited over a three dimensional structure, such as a fin in the formation of a finFET device.


The formula of the silicon oxycarbonitride films is generally referred to herein as SiOCN for convenience and simplicity. As used herein, SiOCN is not intended to limit, restrict, or define the bonding or chemical state, for example the oxidation state of any of Si, O, C, N, and/or any other element in the film. Further, in some embodiments SiOCN thin films may comprise one or more elements in addition to Si, O, C, and/or N. In some embodiments the SiOCN films may comprise Si—C bonds, Si—O bonds, and/or Si—N bonds. In some embodiments the SiOCN films may comprise Si—C bonds and Si—O bonds and may not comprise Si—N bonds. In some embodiments the SiOCN films may comprise more Si—O bonds than Si—C bonds, for example a ratio of Si—O bonds to Si—C bonds may be from about 1:1 to about 10:1. In some embodiments the SiOCN films may comprise from about 0% to about 10% nitrogen on an atomic basis (at %). In some embodiments the SiOCN may comprise from about 0% to about 30% carbon on an atomic basis. In some embodiments the SiOCN films may comprise from about 0% to about 60% oxygen on an atomic basis. In some embodiments the SiOCN films may comprise about 0% to about 50% silicon on an atomic basis.


ALD-type processes are based on controlled, generally self-limiting surface reactions. Gas phase reactions are typically avoided by contacting the substrate alternately and sequentially with the reactants. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant byproducts between reactant pulses. The reactants may be removed from proximity with the substrate surface with the aid of a purge gas and/or vacuum. In some embodiments excess reactants and/or reactant byproducts are removed from the reaction space by purging, for example with an inert gas.


In some embodiments, plasma enhanced ALD (PEALD) processes are used to deposit SiOCN films. In some embodiments PEALD processes as described herein do not comprise oxygen plasma. Briefly, a substrate or workpiece is placed in a reaction chamber and subjected to alternately repeated surface reactions. In some embodiments, thin SiOCN films are formed by repetition of a self-limiting ALD cycle. In some embodiments, for forming SiOCN films, each ALD cycle comprises at least two distinct phases. The contacting and removal of a reactant from the substrate may be considered a phase. In a first phase, a vapor phase first reactant comprising silicon contacts the substrate and forms no more than about one monolayer on the substrate surface. This reactant is also referred to herein as “the silicon precursor,” “silicon-containing precursor,” or “silicon reactant” and may be, for example, (3-Aminopropyl)trimethoxysilane (APTMS).


In a second phase, a second reactant comprising a reactive species contacts the substrate and may convert adsorbed silicon to SiOCN. In some embodiments the second reactant comprises a hydrogen precursor. In some embodiments, the reactive species comprises an excited species. In some embodiments the second reactant comprises a species from a hydrogen containing plasma. In some embodiments, the second reactant comprises hydrogen radicals, hydrogen atoms and/or hydrogen plasma. The second reactant may comprise other species that are not hydrogen precursors. In some embodiments, the second reactant may comprise a plasma of nitrogen, radicals of nitrogen, or atomic nitrogen in one form or another. In some embodiments, the second reactant may comprise a species from a noble gas, such as He, Ne, Ar, Kr, or Xe, for example as radicals, in plasma form, or in elemental form. These reactive species from noble gases do not necessarily contribute material to the deposited film, but can in some circumstances contribute to film growth as well as help in the formation and ignition of plasma. In some embodiments a gas that is used to form a plasma may flow constantly throughout the deposition process but only be activated intermittently. In some embodiments a gas that is used to form a plasma does not comprise oxygen. In some embodiments the adsorbed silicon precursor is not contacted with a reactive species generated by a plasma from oxygen. In some embodiments a second reactant comprising reactive species is generated in a gas that does not comprise oxygen. For example in some embodiments a second reactant may comprise a plasma generated in a gas that does not comprise oxygen. In some embodiments the second reactant may be generated in a gas comprising less than about 1 atomic % (at %) oxygen, less than about 0.1 at % oxygen, less than about 0.01 at % oxygen, or less than about 0.001 at % oxygen.


Additional phases may be added and phases may be removed as desired to adjust the composition of the final film.


One or more of the reactants may be provided with the aid of a carrier gas, such as Ar or He. In some embodiments the silicon precursor and the second reactant are provided with the aid of a carrier gas.


In some embodiments, two of the phases may overlap, or be combined. For example, the silicon precursor and the second reactant may be contact the substrate simultaneously in phases that partially or completely overlap. In addition, although referred to as the first and second phases, and the first and second reactants, the order of the phases may be varied, and an ALD cycle may begin with any one of the phases. That is, unless specified otherwise, the reactants can contact the substrate in any order, and the process may begin with any of the reactants.


As discussed in more detail below, in some embodiments for depositing a SiOCN film, one or more deposition cycles begin by contacting the substrate with the silicon precursor, followed by the second precursor. In other embodiments deposition may begin by contacting the substrate with the second precursor, followed by the silicon precursor.


In some embodiments the substrate on which deposition is desired, such as a semiconductor workpiece, is loaded into a reaction space or reactor. The reactor may be part of a cluster tool in which a variety of different processes in the formation of an integrated circuit are carried out. In some embodiments a flow-type reactor is utilized. In some embodiments a shower head type of reactor is utilized. In some embodiments, a space divided reactor is utilized. In some embodiments a high-volume manufacturing-capable single wafer ALD reactor is used. In other embodiments a batch reactor comprising multiple substrates is used. For embodiments in which batch ALD reactors are used, the number of substrates is in the range of 10 to 200, in the range of 50 to 150, or in the range of 100 to 130.


Examples of suitable reactors that may be used include commercially available equipment such as the F-120® reactor, F-450® reactor, Pulsar® reactors—such as the Pulsar® 2000 and the Pulsar® 3000—EmerALD® reactor and Advance® 400 Series reactors, available from ASM America, Inc of Phoenix, Arizona and ASM Europe B.V., Almere, Netherlands. Other commercially available reactors include those from ASM Japan K.K (Tokyo, Japan) under the tradename Eagle® XP and XP8.


In some embodiments, if necessary, the exposed surfaces of the workpiece can be pretreated to provide reactive sites to react with the first phase of the ALD process. In some embodiments a separate pretreatment step is not required. In some embodiments the substrate is pretreated to provide a desired surface termination. In some embodiments the substrate is pretreated with plasma.


Excess reactant and reaction byproducts, if any, are removed from the vicinity of the substrate, and in particular from the substrate surface, between reactant contacting phases. In some embodiments excess reactant and reaction byproducts, if any, are removed from the substrate surface by, for example, purging the reaction chamber between reactant contacting phases, such as by purging with an inert gas. The flow rate and contacting time of each reactant is tunable, as is the removal step, allowing for control of the quality and various properties of the films.


As mentioned above, in some embodiments a gas is provided to the reaction chamber continuously during each deposition cycle, or during the entire ALD process, and reactive species are provided by generating a plasma in the gas, either in the reaction chamber or upstream of the reaction chamber. In some embodiments the gas comprises nitrogen. In some embodiments the gas is nitrogen. In some embodiments the gas may comprise noble gas, such as helium or argon. In some embodiments the gas is helium or nitrogen. The flowing gas may also serve as a purge gas for the first and/or second reactant (or reactive species). For example, flowing nitrogen may serve as a purge gas for a first silicon precursor and also serve as a second reactant (as a source of reactive species). In some embodiments, nitrogen, argon, or helium may serve as a purge gas for a first precursor and a source of excited species for converting the silicon precursor to the SiOCN film. In some embodiments the gas in which the plasma is generated does not comprise nitrogen and the adsorbed silicon precursor is not contacted with a reactive species generated by a plasma from nitrogen. In some embodiments the gas in which the plasma is generated does not comprise oxygen and the adsorbed silicon precursor is not contacted with a reactive species generated by a plasma from oxygen.


The cycle is repeated until a film of the desired thickness and composition is obtained. In some embodiments the deposition parameters, such as the precursor flow rate, contacting time, removal time, and/or reactants themselves, may be varied in one or more deposition cycles during the ALD process in order to obtain a film with the desired characteristics.


In some embodiments the surface of the substrate is contacted with a reactant. In some embodiments a pulse of reactant is provided to a reaction space containing the substrate. The term “pulse” may be understood to comprise feeding reactant into the reaction chamber for a predetermined amount of time. The term “pulse” does not restrict the length or duration of the pulse and a pulse can be any length of time. In some embodiments the substrate is moved to a reaction space containing a reactant. In some embodiments the substrate is subsequently moved from a reaction space containing a first reactant to a second, different reaction space containing the second reactant.


In some embodiments, the substrate is contacted with the silicon reactant first. After an initial surface termination, if necessary or desired, the substrate is contacted with a first silicon reactant. In some embodiments a first silicon reactant pulse is supplied to the workpiece. In accordance with some embodiments, the first reactant pulse comprises a carrier gas flow and a volatile silicon species, such as APTMS, that is reactive with the workpiece surfaces of interest. Accordingly, the silicon reactant adsorbs upon these workpiece surfaces. The first reactant pulse self-saturates the workpiece surfaces such that any excess constituents of the first reactant pulse do not further react with the molecular layer formed by this process.


The first silicon reactant pulse can be supplied in gaseous form. The silicon precursor gas is considered “volatile” for purposes of the present description if the species exhibits sufficient vapor pressure under the process conditions to transport the species to the workpiece in sufficient concentration to saturate exposed surfaces.


In some embodiments the silicon reactant contacts the surface from about 0.05 seconds to about 5.0 seconds, about 0.1 seconds to about 3 seconds or about 0.2 seconds to about 1.0 seconds. The optimum contacting time can be readily determined by the skilled artisan based on the particular circumstances.


After sufficient time for about a molecular layer to adsorb on the substrate surface, excess first silicon reactant, and reaction byproducts, if any, are removed from the substrate surface. In some embodiments removing excess reactant and reaction byproducts, if any, may comprise purging the reaction chamber. In some embodiments the reaction chamber may be purged by stopping the flow of the first reactant while continuing to flow a carrier gas or purge gas for a sufficient time to diffuse or purge excess reactants and reactant by-products, if any, from the reaction space. In some embodiments the excess first precursor is purged with the aid of inert gas, such as nitrogen or argon, which is flowing throughout the ALD cycle. In some embodiments the substrate may be moved from the reaction space containing the first reactant to a second, different reaction space. In some embodiments, the first reactant is removed for about 0.1 seconds to about 10 seconds, about 0.3 seconds to about 5 seconds or about 0.3 seconds to about 1 second. Contacting and removal of the silicon reactant can be considered the first or silicon phase of the ALD cycle.


In the second phase, a second reactant comprising a reactive species, such as hydrogen plasma is provided to the workpiece. Hydrogen plasma may be formed by generating a plasma in hydrogen in the reaction chamber or upstream of the reaction chamber, for example by flowing the hydrogen (H2) through a remote plasma generator.


In some embodiments, plasma is generated in flowing H2 gas. In some embodiments H2 is provided to the reaction chamber before the plasma is ignited or hydrogen atoms or radicals are formed. In some embodiments the H2 is provided to the reaction chamber continuously and hydrogen containing plasma, atoms or radicals is created or supplied when needed.


Typically, the second reactant, for example comprising hydrogen plasma, contacts the substrate for about 0.1 seconds to about 10 seconds. In some embodiments the second reactant, such as hydrogen containing plasma, contacts the substrate for about 0.1 seconds to about 10 seconds, 0.5 seconds to about 5 seconds or 0.5 seconds to about 2.0 seconds. However, depending on the reactor type, substrate type and its surface area, the second reactant contacting time may be even higher than about 10 seconds. In some embodiments, contacting times can be on the order of minutes. The optimum contacting time can be readily determined by the skilled artisan based on the particular circumstances.


In some embodiments the second reactant is provided in two or more distinct pulses, without introducing another reactant in between any of the two or more pulses. For example, in some embodiments a plasma, such as a hydrogen containing plasma, is provided in two or more sequential pulses, without introducing a Si-precursor in between the sequential pulses. In some embodiments during provision of plasma two or more sequential plasma pulses are generated by providing a plasma discharge for a first period of time, extinguishing the plasma discharge for a second period of time, for example from about 0.1 seconds to about 10 seconds, from about 0.5 seconds to about 5 seconds or about 1.0 seconds to about 4.0 seconds, and exciting it again for a third period of time before introduction of another precursor or a removal step, such as before the Si-precursor or a purge step. Additional pulses of plasma can be introduced in the same way. In some embodiments a plasma is ignited for an equivalent period of time in each of the pulses.


In some embodiments plasma, for example hydrogen containing plasma may be generated by applying RF power of from about 10 W to about 2000 W, from about 50 W to about 1000 W, or from about 100 W to about 500 W in some embodiments. In some embodiments, a plasma power used for generating a nitrogen-containing plasma can be about 500 W to about 1,500 W, 700 W to about 1200 W or about 800 W to about 1,000 W. In some embodiments the RF power density may be from about 0.02 W/cm2 to about 2.0 W/cm2, or from about 0.05 W/cm2 to about 1.5 W/cm2. The RF power may be applied to second reactant that flows during the plasma contacting time, that flows continuously through the reaction chamber, and/or that flows through a remote plasma generator. Thus in some embodiments the plasma is generated in situ, while in other embodiments the plasma is generated remotely. In some embodiments a showerhead reactor is utilized and plasma is generated between a susceptor (on top of which the substrate is located) and a showerhead plate. In some embodiments the gap between the susceptor and showerhead plate is from about 0.1 cm to about 20 cm, from about 0.5 cm to about 5 cm, or from about 0.8 cm to about 3.0 cm.


After a time period sufficient to completely saturate and react the previously adsorbed molecular layer with the plasma pulse, any excess reactant and reaction byproducts are removed from the substrate surface.


In some embodiments removing excess reactant and reaction byproducts, if any, may comprise purging the reaction chamber. In some embodiments the reaction chamber may be purged by stopping the flow of the second reactant while continuing to flow a carrier gas or purge gas for a sufficient time to diffuse or purge excess reactants and reactant by-products, if any, from the reaction space. In some embodiments the excess second precursor is purged with the aid of inert gas, such as nitrogen or argon, which is flowing throughout the ALD cycle. In some embodiments the substrate may be moved from the reaction space containing the second reactant to a different reaction space. The removal may, in some embodiments, be from about 0.1 seconds to about 10 seconds, about 0.1 seconds to about 4 seconds or about 0.1 seconds to about 0.5 seconds. Together, the reactive species contacting and removal represent a second, reactive species phase in a SiOCN atomic layer deposition cycle.


The two phases together represent one ALD cycle, which is repeated to form SiOCN thin films of a desired thickness. While the ALD cycle is generally referred to herein as beginning with the silicon phase, it is contemplated that in other embodiments the cycle may begin with the reactive species phase. One of skill in the art will recognize that the first precursor phase generally reacts with the termination left by the last phase in the previous cycle. Thus, while no reactant may be previously adsorbed on the substrate surface or present in the reaction space if the reactive species phase is the first phase in the first ALD cycle, in subsequent cycles the reactive species phase will effectively follow the silicon phase. In some embodiments one or more different ALD cycles are provided in the deposition process.


According to some embodiments of the present disclosure, PEALD reactions may be performed at temperatures ranging from about 25° C. to about 700° C., from about 50° C. to about 600° C., from about 100° C. to about 450° C., or from about 200° C. to about 400° C. In some embodiments, the optimum reactor temperature may be limited by the maximum allowed thermal budget. Therefore, in some embodiments the reaction temperature is from about 300° C. to about 400° C. In some applications, the maximum temperature is around about 400° C., and, therefore the PEALD process is run at that reaction temperature.


The substrate on which a thin film is deposited may comprise various types of materials. In some embodiments the substrate may comprise an integrated circuit workpiece. In some embodiments the substrate may comprise silicon. In some embodiments the substrate may comprise silicon oxide, for example, thermal oxide. In some embodiments the substrate may comprise a high-k dielectric material. In some embodiments the substrate may comprise carbon. For example the substrate may comprise an amorphous carbon layer, graphene, and/or carbon nanotubes.


In some embodiments the substrate may comprise a metal, including, but not limited to W, Cu, Ni, Co, and/or Al. In some embodiments the substrate may comprise a metal nitride, including, but not limited to TiN and/or TaN. In some embodiments the substrate may comprise a metal carbide, including, but not limited to TiC and/or TaC. In some embodiments the substrate may comprise a metal chalcogenide, including, but not limited to MoS2, Sb2Te3, and/or GeTe. In some embodiments the substrate may comprise a material that would be oxidized by exposure to an oxygen plasma process, but not by a PEALD process as described herein.


In some embodiments a substrate used in the PEALD processes described herein may comprise an organic material. For example, the substrate may comprise an organic material such as a plastic, polymer, and/or photoresist. In some embodiments where the substrate comprises an organic material the reaction temperature of a PEALD process may be less than about 200° C. In some embodiments the reaction temperature may be less than about 150° C., less than about 100° C., less than about 75° C., or less than about 50° C.


In some embodiments where a substrate comprises an organic material the maximum process temperature may be as low as 100° C. In some embodiments where the substrate comprises an organic material, the absence of a plasma generated from oxygen may allow for deposition of a SiOCN thin film on an organic material that may not otherwise degrade in a deposition process including plasma generated from oxygen.


According to some embodiments of the present disclosure, the pressure of the reaction chamber during processing is maintained at from about 0.01 Torr to about 50 Torr, or from about 0.1 Torr to about 10 Torr. In some embodiments the pressure of the reaction chamber is greater than about 6 Torr, or about 20 Torr. In some embodiments, a SiOCN deposition process can be performed at a pressure of about 20 Torr to about 500 Torr, about 20 Torr to about 50 Torr, or about 20 Torr to about 30 Torr.


In some embodiments a SiOCN deposition process can comprise a plurality of deposition cycles, wherein at least one deposition cycle is performed in an elevated pressure regime. For example, a deposition cycle of a PEALD process may comprise alternately and sequentially contacting the substrate with a silicon precursor and a second reactant under the elevated pressure. In some embodiments, one or more deposition cycles of the PEALD process can be performed at a process pressure of about 6 Torr to about 500 Torr, about 6 Torr to about 50 Torr, or about 6 Torr to about 100 Torr. In some embodiments, the one or more deposition cycles can be performed at a process pressure of greater than about 20 Torr, including about 20 Torr to about 500 Torr, about 30 Torr to about 500 Torr, about 40 Torr to about 500 Torr, or about 50 Torr to about 500 Torr. In some embodiments, the one or more deposition cycles can be performed at a process pressure of about 20 Torr to about 30 Torr, about 20 Torr to about 100 Torr, about 30 Torr to about 100 Torr, about 40 Torr to about 100 Torr or about 50 Torr to about 100 Torr.


PEALD of SiOCN


As mentioned above, and discussed in more detail below, in some embodiments SiOCN thin films can be deposited on a substrate in a reaction space by a plasma enhanced atomic deposition layer (PEALD) process. According to some embodiments, a SiOCN thin film is deposited using a PEALD process on a substrate having three-dimensional features, such as in a FinFET application. In some embodiments a PEALD process as described herein may be used in a variety of applications. For example, a PEALD process as described herein may be used in the formation of hardmask layers, sacrificial layers, protective layers, or low-k spacers. A PEALD process as described herein may be used in, for example, memory device applications.


In some embodiments a SiOCN thin film may be deposited by a PEALD process as described herein on a substrate that is not able to withstand O plasma without damage, for example a substrate comprising an organic and/or photoresist material.


Referring to FIG. 1 and according to some embodiments a SiOCN thin film is deposited on a substrate in a reaction space by a PEALD deposition process 100 comprising at least one cycle comprising:

    • contacting the substrate with a vapor phase silicon-containing precursor at step 120 such that silicon species adsorb onto the surface of the substrate;
    • removing excess silicon-containing precursor and reaction byproducts, if any, from the substrate surface at step 130;
    • contacting the substrate with a second reactant comprising reactive species generated by plasma at step 140, thereby converting the adsorbed silicon species into SiOCN;
    • removing excess second reactant and reaction byproducts, if any, from the substrate surface at step 150; and
    • optionally repeating the contacting and removing steps at step 160 to form a SiOCN thin film of a desired thickness and composition.


In some embodiments step 140 may comprise remotely generating or forming plasma or reactive species before contacting the substrate with the second reactant.


According to some embodiments a SiOCN plasma enhanced ALD deposition cycle can be used to deposit a SiOCN thin film. In certain embodiments, a SiOCN thin film is formed on a substrate by an ALD-type process comprising multiple SiOCN deposition cycles, each SiOCN deposition cycle comprising:

    • contacting a substrate with a vapor phase silicon reactant such that a silicon compound adsorbs on the substrate surface;
    • exposing the substrate to a purge gas and/or vacuum;
    • contacting the substrate with reactive species generated by forming a plasma in a second reactant; and
    • exposing the substrate to a purge gas and/or vacuum;
    • optionally repeating the contacting and exposing steps until a SiOCN thin film of a desired thickness and composition is obtained.


In some embodiments the exposing the substrate to a purge gas and/or vacuum steps may comprise continuing the flow of an inert carrier gas while stopping the flow of a precursor or reactant. In some embodiments the exposing the substrate to a purge gas and/or vacuum steps may comprise stopping the flow of a precursor and a carrier gas into a reaction chamber and evacuating the reaction chamber, for example with a vacuum pump. In some embodiments the exposing the substrate to a purge gas and/or vacuum steps may comprise moving the substrate from a first reaction chamber to a second, different reaction chamber containing a purge gas. In some embodiments the exposing the substrate to a purge gas and/or vacuum steps may comprise moving the substrate from a first reaction chamber to a second, different reaction chamber under a vacuum.


According to some embodiments a SiOCN thin film is deposited on a substrate in a reaction space by a PEALD deposition process comprising at least one cycle comprising:

    • contacting the substrate with APTMS such that silicon species adsorb onto the surface of the substrate;
    • removing excess APTMS and reaction byproducts, if any, from the substrate surface;
    • contacting the substrate with a second reactant comprising reactive species generated by plasma, wherein the reactive species comprises hydrogen;
    • removing excess second reactant and reaction byproducts, if any, from the substrate surface; and
    • optionally repeating the contacting and removing steps to form a SiOCN thin film of a desired thickness and composition.


In some embodiments contacting the substrate with a second reactant may comprise remotely generating or forming plasma or reactive species before contacting the substrate with the second reactant.


In certain embodiments, a SiOCN thin film is formed on a substrate by an ALD-type process comprising multiple SiOCN deposition cycles, each SiOCN deposition cycle comprising: alternately and sequentially contacting the substrate with a first vapor phase silicon precursor and a second reactant comprising reactive species. In some embodiments the silicon precursor may comprise APTMS and the second reactive species may comprise hydrogen.


In some embodiments, the PEALD process is performed at a temperature between about 100° C. to about 650° C., about 100° C. to about 550° C., about 100° C. to about 450° C., about 200° C. to about 600° C., or at about 200° C. to about 400° C. In some embodiments the temperature is about 300° C. In some embodiments, for example where a substrate comprises an organic material such as an organic photoresist, the PEALD process may be performed at a temperature less than about 100° C. In some embodiments the PEALD process is performed at a temperature less than about 75° C., or less than about 50° C. In some embodiments a plasma may be generated by applying RF power to the second reactant. The RF power may be applied to second reactant to thereby generate reactive species. In some embodiments the RF power may be applied to the second reactant that flows continuously through the reaction chamber, and/or that flows through a remote plasma generator. Thus in some embodiments the plasma is generated in situ, while in other embodiments the plasma is generated remotely. In some embodiments the RF power applied to the second reactant is from about 10 W to about 2000 W, from about 100 W to about 1000 W or from about 200 W to about 500 W. In some embodiments the RF power applied to the second reactant is about 200 W. In some embodiments, a plasma power used for generating a nitrogen-containing plasma can be about 500 W to about 1500 W, about 800 W to about 1200 W.


As discussed in more detail below, in some embodiments for depositing a SiOCN film, one or more PEALD deposition cycles begin with provision of the silicon precursor, followed by the second reactant. In other embodiments deposition may begin with provision of the second reactant, followed by the silicon precursor. One of skill in the art will recognize that the first precursor phase generally reacts with the termination left by the last phase in the previous cycle. Thus, while no reactant may be previously adsorbed on the substrate surface or present in the reaction space if the reactive species phase is the first phase in the first PEALD cycle, in subsequent PEALD cycles the reactive species phase will effectively follow the silicon phase. In some embodiments one or more different PEALD sub-cycles are provided in the process for forming a SiOCN thin film.


Si Precursors


A number of different suitable Si precursors can be used in the presently disclosed PEALD processes. In some embodiments, at least some Si precursors suitable for deposition of SiOCN by PEALD processes have the following general formula:

Si(ORI)4-x(RIINRIIIRIV)x  (1)

    • Wherein x=1-4, RI may be an independently selected alkyl group, RII may be an independently selected hydrocarbon group, and RIII and RIV may be independently selected alkyl groups and/or hydrogens. In some embodiments RI and RII are C1-C3 alkyl ligands, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments RI may be a C1-C4 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, or tertbutyl. In some embodiments RII is not a C3 hydrocarbon. In some embodiments RII is a C1-C2 hydrocarbon or a C4-C6 hydrocarbon. In some embodiments RII may be an unsaturated hydrocarbon, such as a hydrocarbon containing one or more double bonds. In some embodiments RII may be an alkyl group where one of the hydrogens is removed. In some embodiments RIII and RIV are hydrogen. In some embodiments RI is methyl, RII is n-propyl, RIII is hydrogen, RIV is hydrogen, and x=1.


For example, an Si precursor may have the formula (written in a more detailed manner in order to show bonding): (RI—O—)4-xSi(—RII—NRIIIRIV)x, wherein x=1-4, RI may be an independently selected alkyl group, RII may be an independently selected hydrocarbon, and RIII and RIV may be independently selected alkyl groups and/or hydrogens.


According to some embodiments, some Si precursors may have the following general formula:

Si(ORI)4-x-y-z(RIINRIIIRIV)xHy(OH)z  (2)

    • wherein x=1-4, y=0-3, and z=0-3, RI and RII may be an independently selected alkyl group, RII may be an independently selected hydrocarbon, and RIII and RIV may be independently selected alkyl groups and/or hydrogens. In some embodiments RII may be an unsaturated hydrocarbon, such as a hydrocarbon containing one or more double bonds. In some embodiments RII may be an alkyl group where one of the hydrogens is removed.


According to some embodiments, some Si precursors may have the following general formula:

LnSi(ORI)4-x-n(RIINRIIIRIV)x  (3)

    • wherein n=1-3, x=0-3, RI may be an independently selected alkyl group, RII may be an independently selected hydrocarbon, and RIII and RIV may be independently selected alkyl groups and/or hydrogens, and L is an independently selected alkyl group or halogen. In some embodiments RII may be an unsaturated hydrocarbon, such as a hydrocarbon containing one or more double bonds. In some embodiments RII may be an alkyl group where one of the hydrogens is removed.


According to some embodiments, some Si precursors may have the following general formula:

LnSi(ORI)4-x-y-z-n(RIINRIIIRIV)xHy(OH)z  (4)

    • wherein n=0-3 x=1-4, y=0-3, z=0-3, RI may be an independently selected alkyl group, RII may be an independently selected hydrocarbon, and RIII and RIV may be independently selected alkyl groups and/or hydrogens, and L is an independently selected alkyl group or halogen. In some embodiments RII may be an unsaturated hydrocarbon, such as a hydrocarbon containing one or more double bonds. In some embodiments RII may be an alkyl group where one of the hydrogens is removed.


According to some embodiments, some Si precursors may have the following general formula:

(RIO)4-xSi(RII—NH2)x  5)

    • wherein x=1-4, RI may be an independently selected alkyl group, and RII may be an independently selected hydrocarbon. In some embodiments RI and RII are C1-C3 alkyl ligands, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments RI is methyl, RII is n-propyl and x=1. In some embodiments RII may be an unsaturated hydrocarbon, such as a hydrocarbon containing one or more double bonds. In some embodiments RII may be an alkyl group where one of the hydrogens is removed.


According to some embodiments, some Si precursors may have the following general formula:

(RIO)3Si—RII—NH2  6)

    • Wherein, RI may be an independently selected alkyl group, and RII may be an independently selected hydrocarbon. In some embodiments RI and RII are C1-C3 alkyl ligands, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments RII may be an unsaturated hydrocarbon, such as a hydrocarbon containing one or more double bonds. In some embodiments RII may be an alkyl group where one of the hydrogens is removed.


According to some embodiments, some Si precursors may have the following general formula:

(RIO)4-xSi(—[CH2]n—NH2)x  7)

    • wherein x=1-4, n=1-5, and RI may be an independently selected alkyl group. In some embodiments RI is a C1-C4 alkyl ligand, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments RI is methyl, and x=1.


In some embodiments the silicon precursor does not comprise a halogen. In some embodiments the silicon precursor may comprise at least one aminoalkyl ligand. According to some embodiments a suitable silicon precursor may comprise at least one ligand which is bonded through carbon to silicon and contains at least one p attached to a carbon chain, for example an aminoalkyl ligand. According to some embodiments a suitable silicon precursor may comprise at least one ligand which is bonded through carbon to silicon and contains an NH2-group attached to a carbon chain, for example an aminoalkyl ligand, and may also comprise at least one ligand which is bonded to silicon through an oxygen atom and in which an alkyl group is bonded to oxygen, for example an alkoxide ligand. According to some embodiments a suitable silicon precursor may comprise at least one ligand which is bonded through carbon to silicon and contains at least one RIIIRIV-group, wherein RIII and RIV may be independently selected alkyl groups and/or hydrogens, attached to a carbon chain, for example an aminoalkyl ligand. According to some embodiments a suitable silicon precursor may comprise at least one ligand which is bonded through carbon to silicon and in which ligand at least one nitrogen is bonded to carbon. Further the one ligand which is bonded through carbon to silicon and in which ligand at least one nitrogen is bonded to carbon may comprise hydrogen bonded to nitrogen. According to some embodiments, in addition to a ligand which is bonded to silicon through carbon, a suitable silicon precursor may comprise also an alkoxy ligand, such as methoxy, ethoxy, n-propoxy, i-propoxy or tertbutoxy ligand. According to some embodiments, including some of the formulas of the above, a suitable silicon precursor comprises a carbon chain which is bonded to silicon through carbon, and in which there is an amino group, such as alkylamino or —NH2 group, attached to the carbon chain and the carbon chain is a C1-C6 hydrocarbon, C2-C6 hydrocarbon or C2-C4 hydrocarbon, linear, branched or cyclic, containing only carbon and hydrogen. In some embodiments the carbon chain may be unsaturated and contain double carbon-carbon bonds. In some other embodiments the carbon chain may contain other atoms than carbon and hydrogen.


According to some embodiments suitable silicon precursors can include at least compounds having any of the general formulas (1) through (7). In some embodiments halides/halogens can include F, Cl, Br, and I. In some embodiments the silicon precursor can comprise (3-aminopropyl)trimethoxysilane (APTMS).


In some embodiments more than one silicon precursor may contact the substrate surface at the same time during an ALD phase. In some embodiments the silicon precursor may comprise more than one of the silicon precursors described herein. In some embodiments a first silicon precursor is used in a first ALD cycle and a second, different ALD precursor is used in a later ALD cycle. In some embodiments multiple silicon precursors may be used during a single ALD phase, for example in order to optimize certain properties of the deposited SiOCN film. In some embodiments only one silicon precursor may contact the substrate during the deposition. In some embodiments there may only be one silicon precursor and one second reactant or composition of second reactants in the deposition process. In some embodiments there is no metal precursor in the deposition process. In some embodiments the silicon precursor is not used as a silylating agent. In some embodiments the deposition temperature and/or the duration of the silicon precursor contacting step are selected such that the silicon precursor does not decompose. In some embodiments the silicon precursor may decompose during the silicon precursor contacting step. In some embodiments the silicon precursor does not comprise a halogen, such as chlorine or fluorine.


Second Reactants


As discussed above, the second reactant for depositing SiOCN according to the present disclosure may comprise a hydrogen precursor, which may comprise a reactive species. In some embodiments a reactive species includes, but is not limited to, radicals, plasmas, and/or excited atoms or species. Such reactive species may be generated by, for example, plasma discharge, hot-wire, or other suitable methods. In some embodiments the reactive species may be generated remotely from the reaction chamber, for example upstream from the reaction chamber (“remote plasma”). In some embodiments the reactive species may be generated in the reaction chamber, in the direct vicinity of the substrate, or directly above the substrate (“direct plasma”).


Suitable plasma compositions of a PEALD process include hydrogen reactive species, that is plasma, radicals of hydrogen, or atomic hydrogen in one form or another. In some embodiments a second reactant may comprise a reactive species formed at least in part from H2. In some embodiments, nitrogen reactive species in the form of plasma, radicals of nitrogen, or atomic nitrogen in one form or another are also provided. And in some embodiments, a plasma may also contain noble gases, such as He, Ne, Ar, Kr and Xe, or Ar or He, in plasma form, as radicals, or in atomic form. In some embodiments, the second reactant does not comprise any species generated from oxygen. Thus, in some embodiments reactive species are not generated from a gas containing oxygen. In some embodiments a second reactant comprising reactive species is generated from a gas that does not contain oxygen. For example in some embodiments a second reactant may comprise a plasma generated from a gas that does not contain oxygen. In some embodiments the second reactant may be generated from a gas containing less than about 1 atomic % (at %) oxygen, less than about 0.1 at % oxygen, less than about 0.01 at % oxygen, or less than about 0.001 at % oxygen. In some embodiments a second reactant does not comprise O2, H2O or O3.


Thus, in some embodiments the second reactant may comprise reactive species formed from compounds having both N and H, such as NH3 and N2H4, a mixture of N2/H2 or other precursors having an N—H bond. In some embodiments the second reactant may be formed, at least in part, from N2. In some embodiments the second reactant may be formed, at least in part, from H2 and N2, where the H2 and N2 are provided at a flow ratio (H2/N2), from about 100:1 to about 1:100, from about 20:1 to about 1:20, from about 10:1 to about 1:10, from about 5:1 to about 1:5 and/or from about 2:1 to about 4:1, and in some cases 1:1. For example, a hydrogen-containing plasma for depositing SiOCN can be generated using both N2 and H2 at one or more ratios described herein.


In some embodiments, a hydrogen plasma may be free or substantially free of nitrogen-containing species (e.g., nitrogen ions, radicals, atomic nitrogen). For example, nitrogen-containing gas is not used to generate the hydrogen plasma. In some embodiments, nitrogen-containing gas (e.g., N2 gas) is not flowed into the reaction chamber during the hydrogen plasma step.


In some embodiments, a hydrogen plasma may be free or substantially free of oxygen-containing species (e.g., oxygen ions, radicals, atomic oxygen). For example, oxygen-containing gas is not used to generate the hydrogen plasma. In some embodiments, oxygen-containing gas (e.g., O2 gas) is not flowed into the reaction chamber during the hydrogen plasma step.


In some embodiments, the second reactant does not comprise any species generated from nitrogen. Thus, in some embodiments reactive species are not generated from a gas containing nitrogen. In some embodiments a second reactant comprising reactive species is generated from a gas that does not contain nitrogen. For example in some embodiments a second reactant may comprise a plasma generated from a gas that does not contain nitrogen. In some embodiments the second reactant may be generated from a gas containing less than about 1 atomic % (at %) nitrogen, less than about 0.1 at % nitrogen, less than about 0.01 at % nitrogen, or less than about 0.001 at % nitrogen. In some embodiments a second reactant does not comprise N2, NH3 or N2H4.


In some embodiments oxygen-containing gas is not used to generate the hydrogen plasma. In some embodiments, oxygen-containing gas (e.g., O2 gas) is not flowed into the reaction chamber during the hydrogen plasma step.


In some embodiments the gas used to generate reactive species, such as plasma, may consist essentially of hydrogen. In some embodiments the gas used to generate reactive species, such as plasma, may consist essentially of nitrogen. In some embodiments the gas used to generate reactive species, such as plasma, may consist essentially of argon or another noble gas. In some embodiments, a plasma power used for generating a hydrogen-containing plasma can be about 10 Watts (W) to about 2,000 W, about 50 W to about −1000 W, about 100 W to about 1000 W or about 100 W to about 500 W. In some embodiments, a plasma power used for generating a hydrogen-containing plasma can be about 100 W to about 300 W.


SiOCN Film Characteristics


SiOCN thin films deposited according to some of the embodiments discussed herein may achieve impurity levels or concentrations below about 3 at %, below about 1 at %, below about 0.5 at %, or below about 0.1 at %. In some thin films, the total impurity level excluding hydrogen may be below about 5 at %, below about 2 at %, below about 1 at %, or below about 0.2 at %. And in some thin films, hydrogen levels may be below about 30 at %, below about 20 at %, below about 15 at %, or below about 10 at %. As used herein, an impurity may be considered any element other than Si, O, C, and/or N.


In some embodiments, the deposited SiOCN films do not comprise an appreciable amount of hydrogen. However, in some embodiments a SiOCN film comprising hydrogen is deposited. In some embodiments, the deposited SiOCN films comprises less than about 30 at %, less than about 20 at %, less than about 15 at %, less than about 10 at % or less than about 5 at % of hydrogen. In some embodiments the thin films do not comprise argon.


According to some embodiments, the SiOCN thin films may exhibit step coverage and pattern loading effects of greater than about 50%, greater than about 80%, greater than about 90%, or greater than about 95%. In some cases step coverage and pattern loading effects can be greater than about 98% and in some case about 100% (within the accuracy of the measurement tool or method). In some embodiments step coverage and pattern loading effects can be greater than about 100%, greater than about 110%, greater than about 120%, greater than about 130%, or greater than about 140%. These values can be achieved in features with aspect ratios of 2 or greater, in some embodiments in aspect ratios of about 3 or greater, in some embodiments in aspect ratios of about 5 or greater and in some embodiments in aspect ratios of about 8 or greater.


In some embodiments the step coverage may be between about 50% and about 110%, between about between about 80% and about 110%, between about 90% and about 110%, between about 95% and 110%, between about 98% and 110%, or between about 100% and 110%. In some embodiments the step coverage may be between about 50% and about 100%, between about between about 80% and about 100%, between about 90% and about 100%, between about 95% and 100%, or between about 98% and 100%.


In some embodiments the growth rate of the film is from about 0.01 Å/cycle to about 5 Å/cycle, from about 0.05 Å/cycle to about 2 Å/cycle. In some embodiments the growth rate of the film is more than about 0.05 Å/cycle, more than about 0.1 Å/cycle, more than about 0.15 Å/cycle, more than about 0.3 Å/cycle, more than about 0.3 Å/cycle, more than about 0.4 Å/cycle. As used herein, “pattern loading effect” is used in accordance with its ordinary meaning in this field. While pattern loading effects may be seen with respect to impurity content, density, electrical properties and etch rate, unless indicated otherwise the term pattern loading effect when used herein refers to the variation in film thickness in an area of the substrate where structures are present. Thus, the pattern loading effect can be given as the film thickness in the sidewall or bottom of a feature inside a three-dimensional structure relative to the film thickness on the sidewall or bottom of the three-dimensional structure/feature facing the open field. As used herein, a 100% pattern loading effect (or a ratio of 1) would represent about a completely uniform film property throughout the substrate regardless of features i.e. in other words there is no pattern loading effect (variance in a particular film property, such as thickness, in features vs. open field).


In some embodiments, SiOCN films are deposited to a thickness of from about 3 nm to about 50 nm, from about 5 nm to about 30 nm, from about 5 nm to about 20 nm. These thicknesses can be achieved in feature sizes (width) below about 100 nm, about 50 nm, below about 30 nm, below about 20 nm, and in some cases below about 15 nm. According to some embodiments, a SiOCN film is deposited on a three-dimensional structure and the thickness at a sidewall may be slightly even more than 10 nm. In some embodiments SiOCN films of greater than 50 nm can be deposited. In some embodiments SiOCN films of greater than 100 nm can be deposited. In some embodiments, SiOCN films are deposited to a thickness of more than about 1 nm, more than about 2 nm, more than about 3 nm, more than about 5 nm, more than about 10 nm. According to some embodiments SiOCN films with various wet etch rates (WER) may be deposited. When using a blanket WER in 0.5% dHF (nm/min), SiOCN films may have WER values of less than about 5, less than about 4, less than about 2, or less than about 1. In some embodiments SiOCN films may have WER values significantly less than 1. In some embodiments SiOCN films may have WER values less than about 0.3, less than about 0.2, or less than about 0.1. In some embodiments SiOCN films may have WER values less than about 0.05, less than about 0.025, or less than about 0.02.


The blanket WER in 0.5% dHF (nm/min) relative to the WER of thermal oxide may be less than about 3, less than about 2, less than about 1, and less than about 0.5. In some embodiments the blanket WER in 0.5% dHF relative to the WER of TOX may be less than about 0.1.


In some embodiments wherein a PEALD process is carried out at temperatures less than about 100° C. The blanket WER in 0.5% dHF (nm/min) relative to the WER of thermal oxide may be less than about 10, less than about 5, less than about 3, and less than about 2, or less than about 1.


And in some embodiments, the sidewall WER of a three dimensional feature, such as a fin or trench relative to the top region WER of a three dimensional feature, such as fin or trench, in 0.5% dHF may be less than about 10, less than about 5, less than about 3, less than about 3, or less than about 1. In some embodiments, SiOCN formed according to one or more processes described herein can advantageously demonstrate a horizontal region to vertical region WERR of about 1, for example in 0.5% dHF. For example, a ratio of a wet etch rate of SiOCN thin film formed over horizontal surfaces (e.g., top surfaces) to a wet etch rate of the SiOCN thin film formed over vertical surfaces (e.g., sidewall surfaces) of three-dimensional structures on a substrate surface can be the same or substantially the same. In some embodiments, the ratio can be about 0.25 to about 2, about 0.5 to about 1.5, about 0.75 to about 1.25, or about 0.9 to about 1.1. These ratios can be achieved in features with aspect ratios of about 2 or more, about 3 or more, about 5 or more or even about 8 or more.


In some embodiments, the amount of etching of SiOCN films according to the present disclosure may be about 1, 2, 5, 10 or more times less than an amount of etching observed for thermal SiO2 (TOX) in a 0.5% HF-dip process (for example in a process in which about 2 to about 3 nm TOX is removed, 1, 2, 5, 10 or more times less SiOCN is removed when deposited according to the methods disclosed herein).


In some embodiments less than about 2 nm of SiOCN film may be removed in a 0.5% HF-dip process with an etching time of 5 minutes. In some embodiments less than about 2 nm of SiOCN film may be removed in a 0.5% HF-dip process with an etching time of 60 minutes.


All atomic percentage (i.e., at %) values provided herein exclude hydrogen for simplicity and because hydrogen is difficult to accurately analyze quantitatively, unless otherwise indicated. However, in some embodiments, if it is possible to analyze the hydrogen with reasonable accuracy, the hydrogen content of the films is less than about 20 at %, less than about 10 at % or less than about 5 at %. In some embodiments the deposited SiOCN thin film may contain up to about 70% oxygen on an atomic basis (at %). In some embodiments a SiOCN film may comprise oxygen from about 10% to about 70%, from about 15% to about 50%, or from about 20% to about 40% on an atomic basis. In some embodiments a SiOCN film may comprise at least about 20%, about 40% or about 50% oxygen on an atomic basis.


In some embodiments the deposited SiOCN thin film may contain up to about 40% carbon on an atomic basis (at %). In some embodiments a SiOCN film may comprise carbon from about 0.5% to about 40%, from about 1% to about 30%, or from about 5% to about 20% on an atomic basis. In some embodiments a SiOCN film may comprise at least about 1%, about 10% or about 20% carbon on an atomic basis.


In some embodiments the deposited SiOCN thin film may contain up to about 30% nitrogen on an atomic basis (at %). In some embodiments a SiOCN film may comprise nitrogen from about 0.51% to about 30%, from about 1% to about 20%, or from about 3% to about 15% on an atomic basis. In some embodiments an SiOCN film may comprise at least about 1%, about 5% or about 10% nitrogen on an atomic basis.


In some embodiments the deposited SiOCN thin film may contain up to about 50% silicon on an atomic basis (at %). In some embodiments a SiOCN film may comprise silicon from about 10X % to about 50%, from about 15% to about 40%, or from about 20% to about 35% on an atomic basis. In some embodiments a SiOCN film may comprise at least about 15%, about 20%, about 25% or about 30% silicon on an atomic basis.


In some embodiments the deposited SiOCN thin film may comprise from about 30 at % to about 40 at % silicon, from about 25 at % to about 40 at % oxygen, from about 10 at % to about 20 at % C, and about 10 at % nitrogen. In some embodiments the deposited SiOCN film may comprise about 33% silicon and about 67% oxygen. As discussed above, in some embodiments a SiOCN film may comprise Si—C bonds, Si—O bonds, and/or Si—N bonds. In some embodiments a SiOCN film may comprise Si—C bonds and Si—O bonds and may not comprise Si—N bonds. In some embodiments a SiOCN film may comprise Si—N bonds and Si—O bonds and may not comprise Si—C bonds. In some embodiments a SiOCN film may comprise Si—N bonds and Si—C bonds and may not comprise Si—O bonds. In some embodiments the SiOCN films may comprise more Si—O bonds than Si—C bonds, for example a ratio of Si—O bonds to Si—C bonds may be from about 1:1 to about 10:1. In some embodiments a deposited SiOCN film may comprise one or more of SiN, SiO, SiC, SiCN, SiON, and/or SiOC.


In some embodiments a SiOCN film is not a low-k film, for example a SiOCN film is not a porous film. In some embodiments a SiOCN is a continuous film. In some embodiments a SiOCN film has a k-value that is less than about 10. In some embodiments a SiOCN film has a k-value that is less than about 7. In some embodiments a SiOCN film has a k-values from about 3.9 to about 10. In some embodiments a SiOCN film has a k-value that is less than about 5.5, less than about 5.0, less than about 4.8, less than about 4.6. In some embodiments a SiOCN film has a k-value that from about 3.8 to about 7, from about 3.8 to about 5.5, from about 3.8 to about 5.0, from about 4.0 to about 4.8, from about 4.1 to about 4.7. In some embodiments a SiOCN film has a k-value that is more than k-value of any low-k film. In some embodiments a SiOCN film has a k-value that is more than pure SiO2.


In some embodiments SiOCN films deposited according to the present disclosure do not comprise a laminate or nanolaminate structure.


In some embodiments a SiOCN film deposited according to the present disclosure is not a self-assembled monolayer (SAM). In some embodiments a SiOCN film deposited according to the present disclosure does not consist of separate, individual molecules which are not bonded to each other. In some embodiments a SiOCN film deposited according to the present disclosure comprises a material which is substantially bonded or linked together. In some embodiments a SiOCN film deposited according to the present disclosure is not a functional layer, is not amino-functionalized, and/or is not used as a functional surface. In some embodiments a SiOCN film deposited according to the present disclosure is not terminated with —NH2 groups. In some embodiments a SiOCN film deposited according to the present disclosure does not contain a substantial amount of —NH2 groups.


Examples

Exemplary SiOCN thin films were deposited by a PEALD process as described herein. The deposition temperature was 300° C. and APTMS was used as a silicon precursor. A plasma was generated by applying 200 W of RF power to the second reactant. A mixture of H2 and N2 was used as the second reactant, which was supplied with an Ar carrier gas. FIG. 2 illustrates the growth per cycle (Å/cycle), refractive index, and WERR as compared with TOX as a function of second reactant gas ratio for SiOCN films deposited by a PEALD process as described herein. The second reactant gas ratio is shown along the X-axis of FIG. 2, and represents the ratio of N2 to both H2 and N2 (N2:(H2+N2)) in the second reactant.


As can be seen in FIG. 2, the growth rate of the SiOCN films increased as the N2:(H2+N2) ratio in the second reactant increased. The refractive index of the deposited films decreased as the N2:(H2+N2) ratio in the second reactant increased. The ratio of the WER of the deposited SiOCN films to the WER of TOX (WERR to TOX) was observed to increase as the N2:(H2+N2) ratio in the second reactant increased. Significantly, the WERR to TOX was for SiOCN films deposited with N2:(H2+N2) ratios of 50% and 0% (no N2 present in the second reactant) was observed to be less than 1. Without being bound by any one theory, it is believed that the presence of H2 in the second reactant results in high wet chemical resistance in the deposited SiOCN thin film.



FIG. 3 illustrates the etching amount versus etching time for both SiOCN thin films deposited by PEALD processes as described herein and TOX. The etching process was a 0.5% HF-dip process. As can be seen in FIG. 3, the deposited SiOCN exhibit significantly greater etch resistance than TOX. After exposure to a 60 minute dip in 0.5% HF less than 2 nm of SiOCN film was removed.


The composition of a SiOCN film deposited by a PEALD process as described herein was analysed using X-ray photoelectron spectroscopy (XPS). The deposition temperature was 300° C. and APTMS was used as a silicon precursor. The results are shown in Table 1, below. Two distinct Si bonding energies were identified, indicating the presence of Si—C and SiO bonds in the deposited film.









TABLE 1







Film composition measure by XPS












Depth (Å)
O
N
C
SiSiC
SiSiOCN















0
46.3
5.3
18.6
5.3
24.5


25
41.4
9.0
11.5
6.7
31.5


50
41.5
8.8
11.0
7.0
31.7


75
41.0
8.9
11.0
5.3
33.8


100
41.9
8.9
10.7
6.3
32.3


125
42.0
9.3
10.0
5.9
32.8


150
43.0
8.1
10.7
5.7
32.5


175
43.9
8.3
9.7
4.7
33.4


200
44.5
8.2
9.0
5.9
32.4


225
45.0
8.3
9.1
5.2
32.4


250
46.0
7.9
8.4
4.1
33.6


275
47.3
7.5
8.3
5.0
31.8


300
47.8
7.4
7.5
4.6
32.8










FIG. 4 also illustrates film composition as a function depth for an exemplary SiOCN film deposited by a PEALD process as described herein.



FIGS. 5A and 5B are scanning electron microscopy (SEM) images showing cross-section view of SiOCN films formed on trench structure prior to and after exposure to a 2 minutes dip in dHF wet etch solution, respectively. The SiOCN films of FIGS. 5A and 5B were formed according to the PEALD processes as described herein. The deposition temperature was 300° C. and APTMS was used as a silicon precursor. A plasma was generated by applying 400 W of RF power to the second reactant comprising H2. The plasma pulse time was 8 seconds. FIGS. 5C and 5D are scanning electron microscopy (SEM) images showing cross-section view of SiOCN films formed on trench structure prior to and after exposure to a 2 minutes dip in dHF wet etch solution, respectively. The SiOCN films of FIGS. 5C and 5D were formed according to the PEALD processes as described herein. The deposition temperature was 300° C. and APTMS was used as a silicon precursor. A plasma was generated by applying 400 W of RF power to the second reactant comprising H2 and N2. The plasma pulse time was 8 seconds.


As shown in FIGS. 5A and 5C, the SiOCN film formed using a PEALD process with a second reactant that did not comprise N2 demonstrated improved conformality prior to the wet etch dip, as compared to the SiOCN film formed using a PEALD process with a second reactant comprising H2 and N2. The SiOCN film formed with a second reactant that did not comprise N2 had a step coverage of 114% to 136%, while the SiOCN film formed with a second reactant comprising H2 and N2 had a step coverage of 54%. As shown in FIGS. 5B and 5D, the conformality of the SiOCN film formed using a second reactant that did not comprise N2 was maintained subsequent to the wet etch dip, while that of the SiOCN film formed using a second reactant comprising H2 and N2 was decreased.


Additionally, the SiOCN film formed using a second reactant that did not comprise N2 demonstrated a wet etch rate ratio to TOX (WERR to TOX) of 0.2 for the horizontal regions of the film and a WERR to TOX of 1.0 for the vertical regions of the film (sidewall surfaces). The SiOCN film formed using a second reactant comprising H2 and N2 demonstrated a wet etch rate ratio to TOX (WERR to TOX) of 2.0 for the horizontal regions of the film deposited on top of the trench structure, a WERR to TOX of 1.4 for the regions of the film deposited on the bottom of the trench structure, and a WERR to TOX of 1.6 for the vertical regions of the film (sidewall surfaces).


The terms “film” and “thin film” are used herein for simplicity. “Film” and “thin film” are meant to mean any continuous or non-continuous structures and material deposited by the methods disclosed herein. For example, “film” and “thin film” could include 2D materials, nanorods, nanotubes or nanoparticles or even single partial or full molecular layers or partial or full atomic layers or clusters of atoms and/or molecules. “Film” and “thin film” may comprise material or layer with pinholes, but still be at least partially continuous.


It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. The described features, structures, characteristics and precursors can be combined in any suitable manner. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention. All modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims
  • 1. A plasma enhanced atomic layer deposition (PEALD) method of forming a thin film comprising silicon, oxygen and carbon on a substrate in a reaction space, wherein the PEALD method comprises at least one deposition cycle comprising: contacting a surface of the substrate with a vapor phase silicon precursor to thereby adsorb a silicon species on the surface of the substrate; andcontacting the adsorbed silicon species with at least one reactive species generated by plasma formed from gas that does not comprise oxygen,wherein the silicon precursor comprises a silicon atom, an alkoxide group bonded to the silicon atom and an amino group; andwherein the substrate is not contacted with oxygen-containing reactive species generated from gas.
  • 2. The method of claim 1 further comprising repeating the deposition cycle until the thin film comprising silicon, oxygen and carbon of a desired thickness has been formed.
  • 3. The method of claim 1, wherein the silicon precursor comprises a carbon chain which is bonded to silicon through carbon.
  • 4. The method of claim 3, wherein the carbon chain comprises atoms other than carbon and hydrogen.
  • 5. The method of claim 1, wherein the amino group is bonded to the silicon atom through a carbon.
  • 6. The method of claim 1, wherein the thin film comprises up to 10 at % nitrogen.
  • 7. The method of claim 1, wherein the thin film comprises at least 20 at % oxygen.
  • 8. The method of claim 1, wherein the thin film comprises at least 5 at % carbon.
  • 9. The method of claim 1, wherein a ratio of a wet etch rate of the thin film to a wet etch rate of thermal silicon oxide is less than about 5.
  • 10. The method of claim 1, wherein the thin film is deposited on a three-dimensional structure.
  • 11. The method of claim 10, wherein a wet etch rate ratio of a wet etch rate of the thin film formed on a top surface of the three-dimensional structure to a wet etch rate of the thin film formed on a sidewall surface of the three-dimensional structure is about 1:1 in dilute HF.
  • 12. The method of claim 1, wherein the silicon precursor does not comprise a halogen.
  • 13. The method of claim 1, wherein the substrate comprises a metal, metal nitride, metal carbide, or metal chalcogenide surface.
  • 14. The method of claim 1, wherein the substrate comprises an organic material.
  • 15. The method of claim 1, wherein the reactive species comprises hydrogen plasma, hydrogen atoms, hydrogen radicals, or hydrogen ions.
  • 16. The method of claim 15, wherein the reactive species further comprises nitrogen plasma, nitrogen atoms, nitrogen radicals, or nitrogen ions.
  • 17. The method of claim 1, wherein the reactive species is generated from a second reactant comprising a noble gas.
  • 18. The method of claim 1, wherein the reactive species is generated by plasma from a second reactant comprising hydrogen.
  • 19. The method of claim 18, wherein the second reactant comprises H2.
  • 20. The method of claim 1, wherein the deposition cycle is carried out at a process temperature of less than about 100° C.
REFERENCE TO RELATED APPLICATION

The present application is a continuation of U.S. application Ser. No. 16/576,328, filed Sep. 19, 2019, which is a continuation of U.S. application Ser. No. 15/707,749, filed Sep. 18, 2017, now U.S. Pat. No. 10,424,476, which is a continuation of U.S. application Ser. No. 14/939,984, filed Nov. 12, 2015, now U.S. Pat. No. 9,786,491, each of which is hereby incorporated by reference in its entirety.

US Referenced Citations (298)
Number Name Date Kind
3708728 Sterling et al. Jan 1973 A
3925337 Heiberger Dec 1975 A
4058430 Suntola et al. Nov 1977 A
4282267 Küyel Aug 1981 A
4389973 Suntola et al. Jun 1983 A
4565747 Nakae et al. Jan 1986 A
4747367 Posa May 1988 A
4761269 Conger et al. Aug 1988 A
4767494 Kobayashi Aug 1988 A
4851095 Scobey et al. Jul 1989 A
4935661 Heinecke et al. Jun 1990 A
5071670 Kelly Dec 1991 A
5166092 Mochizuki et al. Nov 1992 A
5221556 Hawkins et al. Jun 1993 A
5270247 Sakuma et al. Dec 1993 A
5281274 Yoder Jan 1994 A
5306666 Izumi Apr 1994 A
5316793 Wallace et al. May 1994 A
5342652 Foster et al. Aug 1994 A
5482262 Hayakawa et al. Jan 1996 A
5595784 Kaim et al. Jan 1997 A
5603771 Seiberras et al. Feb 1997 A
5618395 Gartner Apr 1997 A
5691235 Meikle et al. Nov 1997 A
5693139 Nishizawa et al. Dec 1997 A
5711811 Suntola et al. Jan 1998 A
5723384 Park et al. Mar 1998 A
5744254 Kampe et al. Apr 1998 A
5769950 Takasu et al. Jun 1998 A
5789024 Levy et al. Aug 1998 A
5855680 Soininen Jan 1999 A
5916365 Sherman Jun 1999 A
5946598 Yeh Aug 1999 A
5947710 Cooper et al. Sep 1999 A
5964943 Stein et al. Oct 1999 A
5965004 Cowley et al. Oct 1999 A
5972430 DiMeo et al. Oct 1999 A
5973400 Murakami et al. Oct 1999 A
6006763 Mori et al. Dec 1999 A
6015590 Suntola et al. Jan 2000 A
6087257 Park et al. Jul 2000 A
6099904 Mak et al. Aug 2000 A
6104074 Chen Aug 2000 A
6113977 Soininen et al. Sep 2000 A
6124158 Dautartas et al. Sep 2000 A
6139624 Rupp Oct 2000 A
6139700 Kang et al. Oct 2000 A
6144060 Park et al. Nov 2000 A
6156382 Rajagopalan et al. Dec 2000 A
6159871 Loboda et al. Dec 2000 A
6162501 Kim Dec 2000 A
6174809 Kang et al. Jan 2001 B1
6188134 Stumborg et al. Feb 2001 B1
6194310 Hsu et al. Feb 2001 B1
6200389 Miller et al. Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6206967 Mak et al. Mar 2001 B1
6234646 Ito May 2001 B1
6270572 Kim et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6342277 Sherman Jan 2002 B1
6355561 Sandhu et al. Mar 2002 B1
6380627 Weihs et al. Apr 2002 B1
6391785 Satta et al. May 2002 B1
6410462 Yang et al. Jun 2002 B1
6416577 Suntoloa et al. Jul 2002 B1
6464779 Powell et al. Oct 2002 B1
6475276 Elers et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6482740 Soininen et al. Nov 2002 B2
6511539 Raaijmakers Jan 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6576053 Kim et al. Jun 2003 B1
6583048 Vincent et al. Jun 2003 B2
6599572 Saanila et al. Jul 2003 B2
6613383 George et al. Sep 2003 B1
6616982 Merrill et al. Sep 2003 B2
6632595 Kikuchi et al. Oct 2003 B2
6652924 Sherman Nov 2003 B2
6706115 Leskela et al. Mar 2004 B2
6727169 Raaijmakers et al. Apr 2004 B1
6780704 Raaijmakers et al. Aug 2004 B1
6794287 Saanila et al. Sep 2004 B2
6797340 Fang et al. Sep 2004 B2
6800383 Lakhotkin Oct 2004 B1
6800552 Elers et al. Oct 2004 B2
6809026 Yoon et al. Oct 2004 B2
6821889 Elers et al. Nov 2004 B2
6827978 Yoon et al. Dec 2004 B2
6833161 Wang et al. Dec 2004 B2
6863727 Elers et al. Mar 2005 B1
6902763 Elers et al. Jun 2005 B1
6986914 Elers et al. Jan 2006 B2
7015153 Triyoso et al. Mar 2006 B1
7045406 Huotari et al. May 2006 B2
7074690 Gauri et al. Jul 2006 B1
7115534 Nguyen et al. Oct 2006 B2
7115974 Wu et al. Oct 2006 B2
7138336 Lee et al. Nov 2006 B2
7211144 Lu et al. May 2007 B2
7211508 Chung et al. May 2007 B2
7268078 Iyer Sep 2007 B2
7297641 Todd et al. Nov 2007 B2
7329590 Elers et al. Feb 2008 B2
7351668 Chou et al. Apr 2008 B2
7405158 Lai et al. Jul 2008 B2
7410666 Elers et al. Aug 2008 B2
7416981 Lee et al. Aug 2008 B2
7422776 Yim et al. Sep 2008 B2
7611751 Elers Nov 2009 B2
7736728 Loboda et al. Jun 2010 B2
7749871 Elers et al. Jul 2010 B2
7771533 Tois et al. Aug 2010 B2
7776396 Kobrin et al. Aug 2010 B2
7794798 Hautala Sep 2010 B2
7824492 Tois et al. Nov 2010 B2
7972975 Dussarrat Jul 2011 B2
8129555 Cheng et al. Mar 2012 B2
8217446 Fukuzumi et al. Jul 2012 B2
8298628 Yang et al. Oct 2012 B2
8637411 Swaminathan et al. Jan 2014 B2
8647993 LaVoie et al. Feb 2014 B1
8703624 Yang et al. Apr 2014 B2
8846546 Takeda Sep 2014 B2
8883641 Mevellec et al. Nov 2014 B2
9076646 Sims et al. Jul 2015 B2
9111746 Ranjan et al. Aug 2015 B2
9171736 Raley et al. Oct 2015 B2
9200167 Spence et al. Dec 2015 B2
9218955 Sasajima et al. Dec 2015 B2
9243324 Bowen et al. Jan 2016 B2
9263253 Sasajima et al. Feb 2016 B2
9287113 Kang et al. Mar 2016 B2
9425038 Shimizu Aug 2016 B2
9425097 Bouche et al. Aug 2016 B1
9443718 Harada et al. Sep 2016 B2
9455138 Fukazawa et al. Sep 2016 B1
9472391 Shimamoto et al. Oct 2016 B2
9564309 Niskanen et al. Feb 2017 B2
9613798 Yamamoto et al. Apr 2017 B2
9698007 Noda et al. Jul 2017 B2
9784695 Blendl Oct 2017 B2
9786491 Suzuki et al. Oct 2017 B2
9786492 Suzuki et al. Oct 2017 B2
9895715 Haukka et al. Feb 2018 B2
10008428 Kang et al. Jun 2018 B2
10032626 Noda et al. Jul 2018 B2
10106890 Li et al. Oct 2018 B2
10134757 Chun et al. Nov 2018 B2
10186420 Fukazawa Jan 2019 B2
10424476 Suzuki et al. Sep 2019 B2
10424477 Niskanen et al. Sep 2019 B2
10453675 O'Neill Oct 2019 B2
10504901 Yoo et al. Dec 2019 B2
10510529 Suzuki et al. Dec 2019 B2
10566186 van Schravendijk et al. Feb 2020 B2
10566187 Qian et al. Feb 2020 B2
10600637 Suzuki et al. Mar 2020 B2
10787591 Tsotsis et al. Sep 2020 B2
10847529 Yoo et al. Nov 2020 B2
10991573 Jia et al. Apr 2021 B2
20010004479 Cheung et al. Jun 2001 A1
20010005546 Cheung et al. Jun 2001 A1
20010024387 Raaijmakers et al. Sep 2001 A1
20010034097 Lim et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20020004293 Soininen et al. Jan 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020182320 Leskela et al. Dec 2002 A1
20030015764 Raaijmakers Jan 2003 A1
20030026989 George et al. Feb 2003 A1
20030031793 Chang et al. Feb 2003 A1
20030049931 Byun et al. Mar 2003 A1
20030072975 Shero et al. Apr 2003 A1
20030082296 Elers et al. May 2003 A1
20030104126 Fang et al. Jun 2003 A1
20030119305 Huang et al. Jun 2003 A1
20030123216 Yoon et al. Jul 2003 A1
20030127043 Lu et al. Jul 2003 A1
20030153181 Yoon et al. Aug 2003 A1
20030157760 Xi et al. Aug 2003 A1
20030161952 Wang et al. Aug 2003 A1
20030181035 Yoon et al. Sep 2003 A1
20030194825 Law et al. Oct 2003 A1
20030203616 Chung et al. Oct 2003 A1
20040130029 Raaijmakers et al. Jul 2004 A1
20040185183 Srinivasan et al. Sep 2004 A1
20040206008 Sung Oct 2004 A1
20040208994 Harkonen et al. Oct 2004 A1
20040224504 Gadgil Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20040238876 Youn et al. Dec 2004 A1
20040240820 Johnson et al. Dec 2004 A1
20050037557 Doczy et al. Feb 2005 A1
20050106877 Elers et al. May 2005 A1
20050215008 Orlowski et al. Sep 2005 A1
20050236694 Wu et al. Oct 2005 A1
20050271813 Kher et al. Dec 2005 A1
20060019494 Cao et al. Jan 2006 A1
20060078679 Elers et al. Apr 2006 A1
20060079090 Elers et al. Apr 2006 A1
20060079099 Nguyen et al. Apr 2006 A1
20060165891 Edelstein et al. Jul 2006 A1
20060165892 Weidman Jul 2006 A1
20060211224 Matsuda et al. Sep 2006 A1
20060223300 Simka et al. Oct 2006 A1
20060240187 Weidman Oct 2006 A1
20070054046 Ishizaka et al. Mar 2007 A1
20070072427 Fukushima et al. Mar 2007 A1
20070148350 Rahtu et al. Jun 2007 A1
20070178699 Schaller et al. Aug 2007 A1
20070218670 Ishizaka Sep 2007 A1
20070232082 Balseanu et al. Oct 2007 A1
20070251444 Gros-Jean et al. Nov 2007 A1
20080081470 Clark Apr 2008 A1
20080102204 Elers May 2008 A1
20080102613 Elers May 2008 A1
20080113110 Elers et al. May 2008 A1
20080182411 Elers Jul 2008 A1
20080274617 Milligan Nov 2008 A1
20090053895 Oshima et al. Feb 2009 A1
20090081868 Shah et al. Mar 2009 A1
20090104791 Nemani Apr 2009 A1
20090211526 Tanaka et al. Aug 2009 A1
20090286402 Xia et al. Nov 2009 A1
20090315093 Li et al. Dec 2009 A1
20100092781 Zambov et al. Apr 2010 A1
20100136789 Matz et al. Jun 2010 A1
20100148903 Yin et al. Jun 2010 A1
20100239742 Larson-Smith et al. Sep 2010 A1
20100297545 Yoo et al. Nov 2010 A1
20110159202 Matsushita et al. Jun 2011 A1
20110262642 Xiao et al. Oct 2011 A1
20110278533 Hillhouse et al. Nov 2011 A1
20120003831 Kang et al. Jan 2012 A1
20120070944 Kim et al. Mar 2012 A1
20120119283 Lee et al. May 2012 A1
20120141770 Cadet et al. Jun 2012 A1
20120208347 Hwang et al. Aug 2012 A1
20120269962 Blomberg et al. Oct 2012 A1
20130034963 Chung et al. Feb 2013 A1
20130078454 Thompson et al. Mar 2013 A1
20130084714 Oka et al. Apr 2013 A1
20130112605 Wyndham et al. May 2013 A1
20130115763 Takamure et al. May 2013 A1
20130134372 Sakuma et al. May 2013 A1
20130196082 Spence Aug 2013 A1
20130330935 Varadarajan Dec 2013 A1
20140030432 Leu et al. Jan 2014 A1
20140048131 Tanaka et al. Feb 2014 A1
20140120737 Swaminathan et al. May 2014 A1
20140170858 Harada et al. Jun 2014 A1
20140213067 Murakami et al. Jul 2014 A1
20140272194 Xiao et al. Sep 2014 A1
20140273477 Niskanen et al. Sep 2014 A1
20140295109 Sakakura Oct 2014 A1
20140302267 Wynne et al. Oct 2014 A1
20140349107 Thoumazet et al. Nov 2014 A1
20140367764 Lee et al. Dec 2014 A1
20150087156 Kamimura et al. Mar 2015 A1
20150118862 Reilly et al. Apr 2015 A1
20150118865 Shimizu Apr 2015 A1
20150126042 Pasquale et al. May 2015 A1
20150214103 Matsuda Jul 2015 A1
20150217240 Van Tuel et al. Aug 2015 A1
20150217330 Haukka et al. Aug 2015 A1
20150252477 Nguyen et al. Sep 2015 A1
20150275355 Mallikarjunan et al. Oct 2015 A1
20150303056 Varadarajan et al. Oct 2015 A1
20150303101 Blomberg et al. Oct 2015 A1
20150376211 Girard et al. Dec 2015 A1
20150380302 Mountsier et al. Dec 2015 A1
20160064281 Izumi et al. Mar 2016 A1
20160093485 Kobayashi et al. Mar 2016 A1
20160225616 Li et al. Aug 2016 A1
20160322214 Li et al. Nov 2016 A1
20160336338 Song et al. Nov 2016 A1
20170107621 Suemori Apr 2017 A1
20170140924 Suzuki et al. May 2017 A1
20170213726 Saley et al. Jul 2017 A1
20170309476 Venkatasubramanian et al. Oct 2017 A1
20170352680 Shin et al. Dec 2017 A1
20170365462 Varadarajan Dec 2017 A1
20180005814 Kumar et al. Jan 2018 A1
20180013078 Lee et al. Jan 2018 A1
20180122632 Vrtis et al. May 2018 A1
20180122742 Ha et al. May 2018 A1
20180151355 Fukazawa May 2018 A1
20180182618 Blanquart et al. Jun 2018 A1
20180315758 Yoo et al. Nov 2018 A1
20180330945 Varadarajan et al. Nov 2018 A1
20180350587 Jia et al. Dec 2018 A1
20200075322 Suzuki et al. Mar 2020 A1
20200273697 Suzuki et al. Aug 2020 A1
20200395211 Jia et al. Dec 2020 A1
20210035988 Yoo et al. Feb 2021 A1
Foreign Referenced Citations (85)
Number Date Country
0387403 Sep 1990 EP
0394054 Oct 1990 EP
0442490 Aug 1991 EP
0526779 Feb 1993 EP
0528779 Feb 1993 EP
0573033 Dec 1993 EP
0774533 May 1997 EP
0899779 Mar 1999 EP
1158070 Nov 2001 EP
1167567 Jan 2002 EP
2620440 Jul 2013 EP
3196336 Jul 2017 EP
58-033841 Feb 1983 JP
H06-037041 Feb 1994 JP
H06-069157 Mar 1994 JP
H07-230957 Aug 1995 JP
H08-264530 Oct 1996 JP
H09-55365 Feb 1997 JP
09-087857 Mar 1997 JP
2003-276111 Sep 2003 JP
2003-342731 Dec 2003 JP
2004-288979 Oct 2004 JP
2006-040936 Feb 2006 JP
2008-510075 Apr 2008 JP
2008-544533 Dec 2008 JP
2009-083511 Apr 2009 JP
2010-283357 Dec 2010 JP
2011-521452 Jul 2011 JP
2013-102130 May 2013 JP
2014-060302 Apr 2014 JP
2014-063859 Apr 2014 JP
2014-096599 May 2014 JP
2015-053445 Mar 2015 JP
2015-088562 May 2015 JP
2015-144268 Aug 2015 JP
2015-170614 Sep 2015 JP
2015-195410 Nov 2015 JP
2003-0016346 Feb 2003 KR
2003-0057938 Jul 2003 KR
2003-0093575 Dec 2003 KR
2004-0060402 Jul 2004 KR
2004-0079173 Sep 2004 KR
2004-0079175 Sep 2004 KR
2004-0100767 Dec 2004 KR
2005-0000168 Jan 2005 KR
2009-0060768 Jun 2009 KR
2012-0081080 Jul 2012 KR
2018-005128 Jan 2018 KR
2010-21202 Jan 2010 TW
2014-03759 Jan 2014 TW
201615880 May 2016 TW
201700786 Jan 2017 TW
WO 199617107 Jun 1996 WO
WO 199618756 Jun 1996 WO
WO 199851838 Nov 1998 WO
WO 199937655 Jul 1999 WO
WO 200001006 Jan 2000 WO
WO 200004704 Jan 2000 WO
WO 200040772 Jul 2000 WO
WO 200047404 Aug 2000 WO
WO 200047796 Aug 2000 WO
WO 200054320 Sep 2000 WO
WO 200055895 Sep 2000 WO
WO 200063957 Oct 2000 WO
WO 200127347 Apr 2001 WO
WO 200129280 Apr 2001 WO
WO 200129891 Apr 2001 WO
WO 200129893 Apr 2001 WO
WO 200153565 Jul 2001 WO
WO 200166832 Sep 2001 WO
WO 200178213 Oct 2001 WO
WO 200188972 Nov 2001 WO
WO 2004077515 Sep 2004 WO
WO 2006080782 Aug 2006 WO
WO 2006097525 Sep 2006 WO
WO 2007041089 Apr 2007 WO
WO 2007080944 Jul 2007 WO
WO 2008051851 May 2008 WO
WO 2008121478 Oct 2008 WO
WO 2008137399 Nov 2008 WO
WO 2013043330 Mar 2013 WO
WO 2013054655 Apr 2013 WO
WO 2016042663 Mar 2016 WO
WO 2018204709 Nov 2018 WO
WO 2018213018 Nov 2018 WO
Non-Patent Literature Citations (96)
Entry
1988RD-0296076 (Nov. 20, 1998), Field effect transistor structure with improved transconductant—contg. spacer-less conducting gate oxide, and tungsten deposited on source and drain, EAST Version 2.0.1.4 Patent-Assignee: Anonymous[Anon], Sep. 19, 2005.
Aarik et al., “Influence of substrate temperature on atomic layer growth and properties of HfO2 thin films”, Thin Solid Films, vol. 340, 1999, pp. 110-116.
Alen et al., “Atomic Layer Deposition of Ta(Al)N(C) Thin Films Using Trimethylaluminum as a Reducing Agent”, Journal of the Electrochemical Society, vol. 148, No. 10, pp. G566-G571, 2001.
Amano et al., “Improved brushing durability of titanium dioxide coating on polymethyl methacrylate substrate by prior treatment with acryloxypropyl trimethoxysilane-based agent for denture application”, Dental Materials Journal 2010, 29(1): pp. 97-103.
Andricacos et al., “Damascene copper electroplating for chip”, IBM Jour. Research and Dev., 1998, vol. 42, Issue 5, pp. 567-574.
Bain et al., “Deposition of tungsten by plasma enhanced chemical vapour deposition”, J. Phys. IV France, 1999, vol. 9, pp. 827-833.
Chang et al, “Chemical Vapor Deposition of Tantalum Carbide and Carbonitride Thin Films from Me3CE=Ta(CH2CMe3)3 (E=Ch, N),” J. Mater. Chem. 13:365-369 (2003).
Chookarjorn et al, “Design of Stable Nanocrystalline Alloys,” Science Magazine, vol. 337, pp. 951-954, Aug. 24, 2012.
Closser et al., “Molecular Layer Deposition of a Highly Stable Oxycarbide Thin Film Using an Organic Chlorosilane and Water”, ACS Appl. Mater. Interfaces 2018, 10, pp. 24266-24274.
Diaz-Benito et al., “Hydrolysis study of bis-1,2-(triethoxylsilyl)ethane silane by NMR”, Colloids and Surfaces A; Physicochemical and Engineering Aspects, 369 (2010), pp. 53-56.
Elers et al., “NbC15 as a precursor in atomic layer epitaxy”, Applied Surface Science, Jul. 9, 1994, vol. 82/83, pp. 468-474.
Favis et al., “Atomic layer epitaxy of silicon, silicon/germanium and silicon carbide via extraction/exchange processes”, Avail. NTIS. Report, 1991, pp. 33.
File History of U.S. Appl. No. 17/072,480, filed Oct. 16, 2020.
File History of U.S. Appl. No. 16/811,258, filed Mar. 6, 2020.
File History of U.S. Appl. No. 16/208,350, filed Dec. 3, 2018.
File History of U.S. Appl. No. 15/951,644, filed Apr. 12, 2018.
File History of U.S. Appl. No. 15/951,626, filed Apr. 12, 2018.
File History of U.S. Appl. No. 15/787,342, filed Oct. 18, 2017.
File History of U.S. Appl. No. 14/939,984, filed Nov. 12, 2015.
File History of U.S. Appl. No. 15/707,749, filed Sep. 18, 2017.
File History of U.S. Appl. No. 15/707,878, filed Sep. 18, 2017.
File History of U.S. Appl. No. 15/588,026, filed May 5, 2017.
File History of U.S. Appl. No. 16/603,555, filed Oct. 7, 2019.
File History of U.S. Appl. No. 10/049,125, filed Aug. 20, 2002.
File History of U.S. Appl. No. 10/242,368, filed Sep. 12, 2002.
File History of U.S. Appl. No. 10/969,297, filed Oct. 19, 2004.
File History of U.S. Appl. No. 11/286,203, filed Nov. 22, 2005.
File History of U.S. Appl. No. 11/288,872, filed Nov. 28, 2005.
File History of U.S. Appl. No. 11/591,845, filed Nov. 1, 2006.
File History of U.S. Appl. No. 15/342,943, filed Nov. 3, 2016.
File History of U.S. Appl. No. 14/255,799, filed Apr. 17, 2014.
Fuyuki et al., “Atomic layer epitaxy controlled by surface superstructures in silicon carbide”, Thin Solid Films, 1993, vol. 225, Issue 1-2, pp. 225-229.
Fuyuki et al., “Atomic layer epitaxy of cubic silicon carbide by gas source MBE using surface superstructure”, J. Cryst. Growth, 1989, vol. 95, Issue 1-4, pp. 461-463.
Gallis et al., “White light emission from amorphous silicon oxycarbide (a-SiCxOy) thin films: Role of composition and postdeposition annealing”, Applied Physics Letters 97, 2010, pp. 0810905-1-0810905-3.
Girolami et al., “Tailored Organometallics as Low-Temperature CVD Precursors to Thin Films”, Materials Research Society Symposium Proceedings, 1988, vol. 121, pp. 429-438.
Gordon et al., “A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches”, Chemical Vapor Deposition, 2003, vol. 9, No. 2, pp. 73-78.
Hara et al., “Atomic layer control of .beta.-silicon carbide (001) surface”, Springer Proc. Phys., 1992, pp. 90-95.
Hiltunen et al., “Nitrides of titanium, niobium, tantalum and molybdenum grown as thin films by the atomic layer epitaxy method”, Thin Solid Films, 1988, vol. 166, pp. 149-154.
Hultman et al., “Review of the Thermal and Mechanical Stability of TiN-based Thin Films”, Zeitscrift Fur Metallkunde 90 (10): 803-813 (1999).
Ibrahim et al., “Organosilica bis(triethoxysilyl)ethane (BTESE) membranes for gas permeation (GS) and reverse osmosis (RO): The effect of preparation conditions on structure, and the correlation between gas and liquid permeation properties”, Journal of Membrane Science, 526 (2017), pp. 242-251.
Ihanus et al., “ALE growth of ZnS1-xSex thin films by substituting surface sulfur with elemental selenium,” Appl. Surface Sci., 112:154-158 (1997).
International Search Report and Written Opinion dated Jun. 25, 2015 in Application No. PCT/US2015/023492.
International Search Report and Written Opinion dated Apr. 7, 2008, Application No. PCT/US2007/082131.
Jehn et al., “Gmelin Handbook of Inorganic and Organometallic Chemistry”, 8th Edition, 1993, vol. A 5b, Issue 54, pp. 131-154.
Jeon et al., “A Study on the Characteristics of TiN Thin Film Deposited by Atomic Layer Chemical Vapor Deposition Method”, J. Vac .Sci. Technol. A, 2000, vol. 18, Issue 4, pp. 1595-1598.
Juppo et al., “Deposition of copper films by an alternate supply of CuCl and Zn”, J. Vac. Sci. Technol A, Jul./Aug. 1997, vol. 15, Issue 4, pp. 2330-2333.
Kattelus et al., “Electrical Properties of Tantalum Based Composite Oxide Films,” Mat. Res. Soc. Symp. Proc. vol. 284, pp. 511-516 (1993).
Kattelus et al., “Layered tantalum-aluminum oxide films deposited by atomic layer epitaxy,” Thin Solid Films, Vo. 225, pp. 296-298 (1993).
Kim et al., “Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization”, Applied Physics Letters, Jun. 23, 2003, vol. 82, Issue 25, pp. 4486-4488.
Kim et al., “Novel capacitor technology for high density stand-alone and embedded DRAMs,” IEEE International Electron Devices Meeting, IEDM (2000).
Kirk-Othmer, Encyclopedia of Chemical Technology, John Wiley & Sons, Inc., 1992, vol. 4, pp. 841-878.
Klaus et al., “Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions”, Journal of the Electrochemical Society, 2000, vol. 147, Issue 3, pp. 1175-1181.
Klaus et al., “Atomic layer deposition of tungsten and tungsten nitride using sequential surface reactions”, AVS 46th International Symposium, 1999, Seattle, WA, US.
Klaus et al., “Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction”, Thin Solid Films, vol. 360, 2000, pp. 145-153.
Klaus et al., “Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions”, Applied Surface Science 162-163, 2000, pp. 479-491.
Kukli et al., “Properties of (Nb1-xTax)2O5 Solid Solutions and (Nb1-xTax)2O5-ZrO2 Nanolaminates Growth by Atomic Layer Epitaxy”, NanoStructured Materials, 1997, vol. 8, pp. 785-793.
Lai et al., “Precursors for Organometallic Chemical Vapor Deposition of Tungsten Carbide Films”, Chem. Mater., 1995, vol. 7, pp. 2284-2292.
Lakomaa et al., “Surface reactions in Al203 growth from trimethylaluminum and water by atomic layer epitaxy,” Applied Surface Science, vol. 107, pp. 107-115 (1996).
Lee et al., “Characteristics of low-k SiOC films deposited via atomic layer deposition”, Thin Solid Films 645 (2018), pp. 334-339.
Leskelä et al., “ALD precursor chemistry: Evolution and future challenges”, Jour. Phys. IV France 9, 1999, pp. 837-852.
Ludviksson et al., “Low-Temperature Thermal CVD of Ti—Al Metal Films Using a Strong Reducing Agent”, Chem. Vap. Deposition, 1998, vol. 4, Issue 4, pp. 129-132.
Martensson, “Use of atomic layer epitaxy for fabrication of Si/TiN/Cu structures”, J. Vac. Sci. Technol. B, Sep./Oct. 1999, vol. 17, Issue 5, pp. 2122-2128.
Martensson et al., “Atomic Layer Epitaxy of Copper and Tantalum”, Chemical Vapor Deposition, 1997, vol. 3, Issue 1, pp. 45-50.
Martensson et al., “CU(THD)2 as Copper Source in Atomic Layer Epitaxy”, Electrochemical Society Proceedings, vol. 97-25, (1997) pp. 1529-1536.
Matsunami et al., “Hetero-interface control and atomic layer epitaxy of SiC”, Applied Surface Science, 1997, vol. 112, pp. 171-175.
Min et al., “Atomic Layer Deposition of TiN Films by Alternate Supply of Tetrakis (ethylmethylamino)-Titanium and Ammonia”, Jpn. J. Appl. Phys., 1998, vol. 37, pp. 4999-5004.
Min et al., “Atomic Layer Deposition of TiN Thin Films by Sequential Introduction of Ti Precursor and HN3”, Mat. Res. Soc. Symp. Proc., 1998, vol. 514, pp. 337-342.
Nakajima et al., “Chemical Vapor Deposition of Tungsten Carbide, Molybdenum Carbide Nitride, and Molybdenum Nitride Films”, J. Electrochem. Soc., Jun. 1997, vol. 144, Issue 6, pp. 2096-2100.
Polyakov et al., “Growth of GaBN Ternary Solutions by Organometallic Vapor Phase Epitaxy”, Journal of Electronic Materials, vol. 26(3):237-242 (1997).
Ritala et al., “Atomic layer epitaxy growth of TiN thin films”, J. Electrochem. Soc., 1995, vol. 142, Issue 8, pp. 2731-2737.
Ritala et al., “Atomic Layer Epitaxy Growth of TiN Thin Films from TiI4 and NH3”, J. Electrochem. Soc., Aug. 1998, vol. 145, Issue 8, pp. 2914-2920.
Ritala et al., “Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Films by Atomic Layer Deposition”, Chem. Mater., 1999, vol. 11, pp. 1712-1718.
Ritala et al., “Effects of intermediate zinc pulses on properties of TiN and NbN films deposited by atomic layer epitaxy”, Appl. Surf. Sci., 1997, vol. 120, pp. 199-212.
Ritala et al., “Perfectly conformal TiN and Al2O3 films deposited by atomic layer deposition”, Chem. Vapor Deposition, 1999, vol. 5, pp. 7-9.
Ritala et al., “Surface roughness reduction in atomic layer epitaxy grown of titanium dioxide thin films,” Thin Solid Films, vol. 249, pp. 155-162 (1994).
Sadayuki et al., “Sub-atomic layer growth of SiC at low temperatures”, Japanese Journal of Applied Physics, 1995, vol. 34, Issue 11, pp. 6166-6170.
Sherman et al., “Plasma enhanced atomic layer deposition of Ta for diffusion barrier applications”, AVS 46th International Symposium, Oct. 26, 1999, Seattle, WA, US.
Song et al., “Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasm and tert-Butylimido-tris(diethylamido)-tantalum (TBTDET), and its Effect on Material Properties”, Chemical Vapor Deposition, 2008, vol. 14, pp. 334-338.
Suntola, “Thin Films and Epitaxy”, Handbook of Crystal Growth 3, Part B: Growth Mechanisms and Dynamics, Chapter 14, pp. 602-662, (1994).
Teo et al., “Pre-treatments applied to oxidized aluminum surfaces to modify the interfacial bonding with bis-1, 2-(triethoxysilyl) ethane (BTSE)—Part I. High-purity Al with native oxide”, Applied Surface Science 252(5), 2005, pp. 1293-1304.
Teo et al., “Pre-treatments applied to oxidized aluminum surfaces to modify the interfacial bonding with bis-1,2-(triethoxysilyl) ethane (BTSE)—Part II. Anodized 7075-T6 Al alloy”, Applied Surface Science 252(5), 2005, pp. 1305-1312.
Tulhoff et al., Ullmann's Encyclopedia of Industrial Chemistry, 5th, Completely Revised Edition, 1986, vol. A5, pp. 61-77.
U.S. Appl. No. 14/255,799, filed Apr. 17, 2014 file history, including but not limited to, Office Action dated Dec. 1, 2016, Final Office Action dated Aug. 29, 2017, and Advisory Action dated Dec. 21, 2017.
Utriainen et al., “Controlled Electrical Conductivity in SnO2 Thin Films by Oxygen or Hydrocarbon Assisted Atomic Layer Epitaxy,” J. Electrochem. Soc. 146(1):189-193 (1999).
Wahab et al., “Hybrid periodic mesoporous organosilica materials prepared from 1,2-bis(triethoxysilyl)ethane and (3-cyanopropyl)triethoxysilane”, Microporous and Mesoporous Materials 69 (2004), pp. 19-27.
Wong et al., “Barriers for copper interconnections”, Solid State Technology, 1999, pp. 1-7.
Wrobel et al., “Silicon Oxycarbide Films Produced by Remote Microwave Hydrogen Plasma CVD using a Tetramethyldisiloxane Precursor: Growth Kinetics, Structure, Surface Morphology, and Properties”, Chem. Vap. Deposition 2015, 21, pp. 307-318.
Yang et al., “Atomic Layer Deposition of Tungsten Film from WF6/B2H6: Nucleation Layer for Advanced Semiconductor Devices”, Advanced Metallization Conference 2001 (AMC 2001), Conference Proceedings ULSI XVII@2002 Materials Research Society, 2001, pp. 655-660.
Zhang et al., “High permittivity thin film nanolaminates,” Journal of Applied Physics, vol. 87, No. 4, pp. 1921-1924 (2000).
Song et al., “Carbon content control of silicon oxycarbide film with methane containing plasma”, J. Vac. Sci. Technol. A 38(6), Nov./Dec. 2020; doi: 10.1116/6.0000210.
Asami et al., “Novel low-k SiOC (k=2.4) with superior tolerance to direct polish and ashing for advanced BEOL integration”, Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki, Japan, pp. 161-162 (2009).
File History of U.S. Appl. No. 16/576,328, filed Sep. 19, 2019.
Knoops et al. “Plasma-assisted ALD of Silicon Nitride from BTBAS,” 131h International Conference on Atomic Layer Deposition, Jul. 28-31, 2013, San Diego, California, U.S.A., 21 pages.
Kukli et al., “Properties of hafnium oxide films grown by atomic layer deposition from hafnium tetraiodide and oxygen”, J. Appl. Phys., vol. 92, No. 10, Nov. 15, 2002, pp. 5698-5703.
Lee, “Characteristics of SiOC(-H) thin films prepared by using plasma-enhanced atomic layer depostion”, Journal of the Korean Physical Society, vol. 59(5):3074-3079 (2011).
Varadarajan et al., “SPARC: a novel technology for depositing conformal dielectric thin films with compositional tuning for etch selectivity”, Proceedings of SPIE 10963, Advanced Etch Technology for Nanopatterning VIII, pp. 1-13, Mar. 20, 2019.
Related Publications (1)
Number Date Country
20220076946 A1 Mar 2022 US
Continuations (3)
Number Date Country
Parent 16576328 Sep 2019 US
Child 17401901 US
Parent 15707749 Sep 2017 US
Child 16576328 US
Parent 14939984 Nov 2015 US
Child 15707749 US