The present invention relates generally to the field of integrated circuits, and more particularly to graphene coated metal subtractive interconnects with airgap structures and their formation.
Integrated circuits commonly include electrically conductive microelectronic structures known as interconnects. Copper has been used as the primary interconnect conducting metal. Other metals such as Ru, W, and Cobalt, Rhodium, Iridium, AlCu, CuMnx have been used as interconnects also. Fabrication of integrated circuits with these metals required a process of subtractive reactive ion etching. Low dielectric constant materials are necessary for efficient use of interconnects. Air gaps within an integrated circuit fit this need, as air gaps have dielectric constants around 1 (humidity depending).
Graphene has been used in many areas, integrated circuits notwithstanding. The benefits of this material continue to be explored in numerous technologies. However, graphene is difficult to work with, thus lessening its use. Some of the many benefits of graphene include a low dielectric constant, excellent moisture resistance with good Hydrophobic properties, and ability to exist in sheets a single atom thick.
The present invention may disclose a method of forming a graphene coated metal interconnect structure with airgap structure. According to an embodiment the method may comprise forming a first metal interconnect pillar structure and a second metal interconnect structure via subtractive reactive ion etch processing over a substrate. The embodiment may also comprise selectively depositing a graphene layer coating onto sidewalls of the first and second metal interconnect pillar structures. The embodiment may further comprise creating an airgap structure between the first and second metal interconnect pillar structures by depositing a dielectric layer between the first and second metal interconnect pillar structures, and in contact with a top portion of the graphene layer coating the sidewalls of the first and second metal interconnect pillar structures. The method may further include the fabrication process step to form these airgap structure with various substrative and damascene metal.
According to one embodiment of the present invention, graphene coated metal interconnect structure with an airgap structure may be provided. The embodiment may comprise a first electrode and a second electrode in contact with a substrate. The embodiment may further comprise a first metal interconnect pillar structure in electrical contact with the first electrode and a second metal interconnect pillar structure in electrical contact with the second electrode. The embodiment may additionally comprise a graphene layer coating sidewalls of the first and second metal interconnect pillar structures. The embodiment may also comprise a dielectric layer located between the first and second metal interconnect pillar structures, and in contact with a top portion of the graphene layer coating the sidewalls of the first and second metal interconnect pillar structures. The embodiment may comprise an airgap structure located between the first and second metal interconnect pillar structures, and in contact with a bottom portion of the graphene layer coating the sidewalls of the first and second metal interconnect pillar structures.
The following detailed description, given by way of example and not intend to limit the disclosure solely thereto, will best be appreciated in conjunction with the accompanying drawings, in which:
When viewed as ordered combinations,
The drawings are not necessarily to scale. The drawings are merely schematic representations, not intended to portray specific parameters of the invention. The drawings are intended to depict only typical embodiments of the invention. In the drawings, like numbering represents like elements.
The present invention relates generally to the field of integrated circuit packaging, and more particularly to graphene coated metal interconnects structure with airgaps.
Embodiments of the present invention recognize that during the fabrication process of subtractive reactive ion etching, a thin layer (0.5-1.0 nm) of metal oxide can form post-processing and impact the resistance of the interconnect structure. Further, simply removing the metal oxide by means of hydrogen plasma is not an effective solution because it will simply reform upon completion of the removal process. Embodiments of the present invention address the matter of increased resistance due to the formation of metal oxide through selectively depositing hydrophobic graphene on a metal interconnect pillar, which can be an effective method to reduce the metal oxide formation and improve resistance of the metal interconnect pillar. The metal oxide reduction is highly more effective with the present of Hydrogen reactants (as in plasma or thermal deposition) typical present during hydrophobic graphene deposition.
Embodiments of the present invention further recognize that an etching stop layer formed on top of metal interconnect structures must act as a diffusion or moisture barrier between the metal interconnect layer and atmosphere or airgap. Materials used in the etching stop layer process generally form layers about 4 nm thick. In structures with sub-20 nm pitches, the thick layer of etching stop material leaves insufficient space for effective air gaps within the semiconductor structure. Another concern with regard to etching stop layer materials (e.g., AlO, SiCO, and SiCN) is the high dielectric constant. This can lead to an increase in parasitic capacitance of the metal interconnect structure. The deposition of a thin hydrophobic graphene cap roughly 0.2-1.0 nm on metal interconnects provides a sufficient moisture barrier and allows for enough air gap volume within a sub-20 nm trench. The thin hydrophobic graphene cap also has a lower dielectric constant, thus reducing potential metal interconnect parasitic capacitance. In fact, most of the deposited graphene layer is conducting thus has little dielectric constant impact.
Embodiments of the present invention also recognize metals generally suitable for formation of interconnect structures via reactive ion etch (e.g., Ru, Co, Rh, Ir, Ta and W) typically have higher a resistance than other metals. To address the increased resistance, a hydrophobic graphene cap wrapped around three sides of the metal interconnect structure enhances the conductivity through the reduction of metal oxide formation and enhanced electron mobility.
Exemplary embodiments now will be described more fully herein with reference to the accompanying drawings, in which exemplary embodiments are shown. In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of various embodiments of the invention. However, it is to be understood that embodiments of the invention may be practiced without these specific details. As such, this disclosure may be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. Rather, these exemplary embodiments are provided so that this disclosure will be thorough and complete and will fully convey the scope of this disclosure to those skilled in the art. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the presented embodiments.
For purposes of the description hereinafter, terms such as “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the drawing figures. Terms such as “above”, “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure may be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
In the interest of not obscuring the presentation of embodiments of the present invention, in the following detailed description, some processing steps or operations that are known in the art may have been combined together for presentation and for illustration purposes and in some instances may have not been described in detail. In other instances, some processing steps or operations that are known in the art may not be described at all. It should be understood that the following description is focused on the distinctive features or elements of various embodiments of the present invention.
As used herein, terms such as “depositing,” “forming,” and the like may refer to the disposition of layers, or portions of materials, in accordance with a given embodiment. Such processes may or may not be different than those used in the standard practice of the art of micro-cooler device fabrication. Such processes include, but are not limited to, atomic layer deposition (ALD), molecular layer deposition (MLD), chemical vapor deposition (CVD), low-pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), limited reaction processing CVD (LRPCVD), ultrahigh vacuum chemical vapor deposition (UHVCVD), metalorganic chemical vapor deposition (MOCVD), physical vapor deposition, sputtering, plating, electroplating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, chemical solution deposition, or any combination of those methods.
As used herein, terms, such as “forming,” and the like, may refer to processes that alter the structure and/or composition of one or more layers of material or portions of materials in accordance with a given embodiment. For example, such formation processes may include, but are not limited to, exposure to a specific frequency or range of frequencies of electromagnetic radiation, ion implantation techniques, and/or chemical/mechanical polishing (CMP). As used herein, terms, such as “forming,” and the like, may refer to processes that alter the structure of one or more layers of material, or portions of material(s), by removal of a quantity of material, in accordance with a given embodiment. For example, such formation processes may include, but are not limited to, micromachining, micro etching, wet and/or dry etching processes, plasma etching processes, or any of the known etching processes in which material is removed.
Those skilled in the art understand that many different techniques may be used to add, remove, and/or alter various materials, and portions thereof, and that embodiments of the present invention may leverage combinations of such processes to produce the structures disclosed herein without deviating from the scope of the present invention.
The following description with reference to the accompanying drawings is provided to assist in a comprehensive understanding of exemplary embodiments of the invention as defined by the claims and their equivalents. It includes various specific details to assist in that understanding but these are to be regarded as merely exemplary. Accordingly, those of ordinary skill in the art will recognize that various changes and modifications of the embodiments described herein can be made without departing from the scope and spirit of the invention. Some of the process steps, depicted, can be combined as an integrated process step. In addition, descriptions of well-known functions and constructions may be omitted for clarity and conciseness.
Detailed embodiments of the claimed structures and methods are disclosed herein. The method steps described below do not form a complete process flow for manufacturing integrated circuits on semiconductor chips. The present embodiments can be practiced in conjunction with the integrated circuit fabrication techniques for semiconductor chips and devices currently used in the art, and only so much of the commonly practiced process steps are included as are necessary for an understanding of the described embodiments. The Figures represent cross-section portions of various semiconductor structures and sub-assembly structures, and are not drawn to scale, but instead are drawn to illustrate the features of the described embodiments. Specific structural and functional details disclosed herein are not to be interpreted as limiting, but merely as a representative basis for teaching one skilled in the art to variously employ the methods and structures of the present disclosure. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the presented embodiments.
The present invention will now be described in detail with reference to the Figures, wherein like reference numerals refer to like elements throughout.
Referring now to
In an embodiment, graphene coated metal interconnect structure with airgap 100 is fabricated via subtractive metal reactive ion etching (“RIE”). Subtractive metal RIE is an ideal procedure for fabricating metal interconnects and back-end-of-line sections. embodiments of the present invention form the body of metal via and trench in the subtractive RIE metal line formation. The vias are formed through a metal gap fill in via holes without employing damascene via chemical mechanical planarization (CMP) processes. The metal films formed on the field during the via metal fill process become a part or the whole of the upper level metal lines and the subtractive RIE for metal line formation over-etches the metal of vias which are exposed to RIE due to the misalignment of the metal line to the via. As a result, the upper metal lines and vias have no interface or junction, and sections of misaligned vias are etched away during over-etching of subtractive RIE to create a suitable distance for Vmax and TDDB. The subtractive RIE metal lines can thus be formed with vias to resolve high line resistance issues. Furthermore, the substrative metal line with gap fill approach will also minimize the Plasma RIE and PVD liner deposition damage normally observed with damascene process. Thus, this approach will further reduce the overall C even with or without the airgap formation.
Substrate 102 may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof. In an embodiment, substrate 102 may comprise a semiconducting material, any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used. In addition to these listed types of semiconducting materials, the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
In an embodiment, substrate 102 may be an insulating material, the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers. In an embodiment, substrate 102 may be a conducting material, substrate 102 may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers. In an embodiment, substrate 102 may comprise a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon. In an embodiment, substrate 102 may comprise a combination of an insulating material and a conductive material, the substrate may represent a first interconnect level of a multilayered interconnect structure.
Metal interconnect 104A, 104 B, and 104C can be a conductive material or combination of materials suitable for integrated circuit. For example, Metal interconnect can be comprised of copper (Cu), aluminum (Al), tungsten (W), ruthenium (Ru), Rhodium (Rh), Iridium (Ir) or cobalt (Co) or other low resistance Binary Metal such as AlCu or CuMn. Metal interconnect can be fabricated using any technique known in the art, such as, for example, a single or dual damascene technique.
Dielectric 106A, 106B, 106C, and 106D can include, but is not limited to, ultra-low-k (ULK) materials, (e.g., porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, carbon-doped silicon oxide (SiCOH) and porous variants thereof, (silsesquioxanes, siloxanes, or other dielectric materials having, for example, a dielectric constant in the range of about 2 to about 4)).
One example of a material suitable for the low-k materials for the dielectric 106 A-D can include silicon oxycarbonitride (SiOCN) or C-Rich Silicon Carbon Nitride (C-Rich SiCN). Other low-k materials that can also be used for the low-k material layer can include fluorine doped silicon dioxide, carbon doped silicon dioxide, porous silicon dioxide, porous carbon doped silicon dioxide, organosilicate glass (OSG), diamond-like carbon (DLC) and combinations thereof.
In some embodiments, the dielectric 106 A-D can be conformally deposited over a substrate (not shown) using CVD. Variations of CVD processes suitable for forming the first dielectric layer include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD) and Plasma Enhanced CVD (PECVD), Plasma Assisted Atomic Layer Deposition (PA-ALD), Atomic Layer Deposition (ALD), Metal-Organic CVD (MOCVD) and combinations thereof can also be employed.
Air gap 108A and 108 B are an open sections within the integrated circuit. It is an empty area with the purpose of reducing the dielectric constant between interconnects. Empty relates to there being no solid matter within the space, rather it is atmospheric air or other suitable gaseous material with a low-k. Air gap 108 is surrounded on its vertical sides by graphene layer 110 and sits upon substrate 102. Air gap 108 is capped on its upper portion by dielectric 106. Air Gap 108 is formed through secondary means (i.e., it is the result of fabrication of a combination other portions of the invention).
Graphene layer 110A, 110B, 110C, 110D, 110E, and 110F can be an ultra-thin layer (0.2-1.0 nm) of graphene composed of one or more monolayers (i.e., a single atom thick). Graphene layer 110A, 110B, 110C, 110D, 110E, and 110F can be deposited on metal interconnect 104 via a CVD-based process and a carbon precursor such as acetylene. Graphene layer acts as a barrier to prevent diffusion of metal ions from metal interconnect 104, prevents metal oxide formation, and improves interface scattering and resistance.
Advantageously, the graphene layer 110 A-F acts as a metal barrier layer between metal interconnect 104 and dielectric 106 and improves interface scattering and resistance. The carbon from a solid carbon source can be effectively crystallized as graphene at the metal-substrate interface, and also form a layer of graphene on top of the metal. Notably, this process can be carried out at temperatures of less than or equal to about 260° C. As such, these low temperatures will prevent damaging structures such as the dielectric 102 (which can occur at temperatures exceeding 600° C.).
In an embodiment, the annealing conditions include, but are not limited to, a temperature of less than about 600° C. (e.g., from about 25° C. to about 260° C.), and in a duration range of 1 minute to about 30 minutes.
In another embodiment, graphene layer 110 A-F can selectively deposit graphene growth by plasma-enhanced chemical vapor deposition (PECVD) on a metal layer (i.e., metal interconnect 104) can be used to form graphene layers both on top of the metal layer and below the metal layer at the metal-dielectric interface. This process can be carried out at a temperature of 400° C.
Electrode 112A, 112B, and 112C is positioned overlying substrate 102 and under metal interconnect 104A, 104B, and 104C, respectively. Electrode 112A, 112B, and 112C are conductive and can be made from a metal such as, for example, titanium (Ti), vanadium (V), chromium (Cr), manganese (Mn), iron (Fe), cobalt (Co), nickel (Ni), silver (Ag), gold (Au), aluminum (Al), copper (Cu), tungsten (W), molybdenum (Mo) and alloys, such as tungsten nitride (WN), tungsten carbide (WC), or multilayered stacks thereof.
The following description of
Referring now to
Referring now to
At step 704, graphene layer 110, is selectively deposited on metal interconnect 104 on metal interconnect structure 200. In an embodiment, graphene layer 110 is selectively deposited on metal interconnect structure 110 via PECVD, this may be a hydrophobic selective process. Graphene layer 104 may be one or more layers thick and only deposited on metal interconnect structure 104. Thus, resulting in the metal interconnect structure 300.
At step 706, create an air gap 108 between the each graphene layer 110 coating the metal interconnect 104 pillar structure. In an embodiment, dielectric 106 is deposited on metal interconnect structure 300. The result of the deposit of dielectric 106 is the formation of airgap 108 between each of the graphene coated metal interconnects. The dielectric also surrounds the horizontal sections of exposed substrate 102, thus resulting in dielectric overlying substrate 102 and contacting the exterior vertical surfaces of graphene layer (i.e., the vertical surface not in contact with airgap 108).
At step 708, the top of metal interconnect structure 104 is exposed. In an embodiment, the top portion of metal interconnect structure 500 is planarized via an appropriate process. this exposed the top of metal interconnect 104 and removes the overlying graphene layer 110 and dielectric 106. In an embodiment, the resulting structure corresponds to graphene coated metal interconnect structure with airgap 100.
The descriptions of the various embodiments of the present invention have been presented for purposes of illustration but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiment, the practical application or technical improvement over technologies found in the marketplace, or to enable other of ordinary skill in the art to understand the embodiments disclosed herein.
In addition, any specified material or any specified dimension of any structure described herein is by way of example only. Furthermore, as will be understood by those skilled in the art, the structures described herein may be made or used in the same way regardless of their position and orientation. Accordingly, it is to be understood that terms and phrases such as, for instance, “side”, “over”, “perpendicular”, “tilted”, etc., as used herein refer to relative location and orientation of various portions of the structures with respect to one another and are not intended to suggest that any particular absolute orientation with respect to external objects is necessary or required.
The foregoing specification also describes processing steps. While some of the steps may be in an ordered sequence, others may in different embodiments from the order that they were detailed in the foregoing specification. The ordering of steps when it occurs is explicitly expressed, for instance, by such adjectives as, “ordered”, “before”, “after”, “following”, and others with similar meaning.
Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature, or element, of any or all the claims.
Many modifications and variations of the present invention are possible in light of the above teachings, and could be apparent for those skilled in the art.