The electronics industry has experienced an ever-increasing demand for smaller and faster electronic devices that are simultaneously able to support a greater number of increasingly complex and sophisticated functions. To meet these demands, there is a continuing trend in the integrated circuit (IC) industry to manufacture low-cost, high-performance, and low-power ICs. Thus far, these goals have been achieved in large part by reducing IC dimensions (for example, minimum IC feature size), thereby improving production efficiency and lowering associated costs. However, such scaling has also increased complexity of the IC manufacturing processes. Thus, realizing continued advances in IC devices and their performance requires similar advances in IC manufacturing processes and technology.
As technology nodes become smaller, ICs may be vertically stacked to form so called three-dimensional (3D) IC structures. By arranging semiconductor devices in 3 dimensions (e.g., vertically stacked die) in additional to scaling down the density of transistors in a given die, the semiconductor devices in the structure can be placed closer to each other. This can reduce wire lengths and minimize delay and resistance. Therefore, although existing IC structures having stacked ICs have been generally adequate for their intended purposes, they have not been entirely satisfactory in every aspect.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. It is also emphasized that the figures appended illustrate only typical embodiments of this invention and are therefore not to be considered limiting in scope, for the invention may apply equally well to other embodiments. Further, the accompanying figures may implicitly describe features not explicitly described in the detailed description.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range considering variations that inherently arise during manufacturing as understood by one of ordinary skill in the art. For example, the number or range of numbers encompasses a reasonable range including the number described, such as within +/−10% of the number described, based on known manufacturing tolerances associated with manufacturing a feature having a characteristic associated with the number. For example, a material layer having a thickness of “about 5 nm” can encompass a dimension range from 4.25 nm to 5.75 nm where manufacturing tolerances associated with depositing the material layer are known to be +/−15% by one of ordinary skill in the art. Further, disclosed dimensions of the different features can implicitly disclose dimension ratios between the different features. Still further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
The present disclosure relates to semiconductor or integrated circuit (IC) structures with provided in a stacked configuration, and particularly to incorporating thermal vias and thermal bonding layers to improve thermal or heat distribution in the structure. As 3D integration of stacked die (or chips) continues to be implemented to recognize the benefits of increased device density and scaling, it is necessary to address dissipation of heat from positions within the stacked die. For example, middle die may be limited in the thermal dissipation paths due to their location. The present disclosure describes various solutions to assist with vertical and lateral (e.g., horizontal) thermal dissipation from a hot spot in one or more die of the 3D-IC structures. In some implementations, the solutions including determining or identifying a high-power device of a die and positioning thermal vias adjacent the high-power device. In some implementations, thermal bonding layers are implemented that provide for improved thermal conductivity. The thermal vias adjacent the high-power device may provide for greater area than the thermal vias at other regions of the device. Thus, certain implementations of the present disclosure lead to improved heat dissipation in semiconductor structures such as 3D-ICs or stacked dies.
In various embodiment, the present disclosure describes an IC structure (or IC chip such as a 3D IC) including a plurality of stacked die. The die may be physically and/or electrically coupled such as by through substrate vias (TSVs). The IC structure also includes a thermal bonding layer interposing the stacked die. The IC structure also includes thermal vias to effectively dissipate heat and to reduce the hot spot temperature including near a high-power device such as in a logic region. The thermal bonding layer(s) and thermal vias and can be allow for thermal dissipation laterally and vertically and can be implemented to target hot spot regions (e.g., high-power devices) of the IC structure. The thermal bonding layer may be formed over a multi-layer interconnect (MLI) formed in a back-end of the line (BEOL) semiconductor process; and thermal vias can be formed lateral to, and in some implementations in conjunction with, the MLI in the BEOL processes. A high-power device or high-power transistor may be a transistor having a high speed and can be distinguished from other logic or memory devices such as low power logic devices (e.g., logic devices for switching functions). The high-power devices may generate hot spots, which is an area where heat is concentrated.
To that respect the following description, front-end-of-the-line (FEOL) generally refers to portions of the device (die) fabrication where functional devices such as logic and memory devices are formed. This is also referred in some instances as the device layer(s) of the structure. The FEOL features include the transistors and features thereof such as source/drain features, channel regions, gate structures. Device-level contacts or metal features extend to the terminals of the transistor. Back-end-of-the-line (BEOL) in the present disclosure generally refers to components formed after the FEOL features and include a multi-layer interconnects (MLI). The MLI provide for a plurality of metal lines (also referred to as interconnect lines) and interposing vias that provide electrical connections including to the FEOL features. The metal lines provide for horizontal routing and the vias provide for a vertical routing to connect metal lines at different metal layers. Any number of metal layers may be used including for example, exemplary MLI may include five (5) or more metal lines vertically stacked typically referred to as M1, M2, M3, and so forth. The MLI includes dielectric or insulating materials that surround the metal lines and vias to provide for suitable direction of the signals carried in the lines, the dielectric can be referred to as an inter-metal dielectric (IMD) as discussed below.
The IC structure 100 may be an IC package mounted onto a printed circuit board (PCB). In other embodiments, the substrate 102 may include a PCB, a semiconductor substrate, an interposer, a dielectric substrate and/or other supportive feature. In some implementations, the substrate 102 may include conductive traces connecting to the overlying die such as die 104. In some implementations, the substrate 102 may include input/output terminals such as bumps, balls, or pillars (not shown).
The first die 104 is connected or attached to the second die 106 by a thermal bonding layer 112. The second die 106 is connected or attached to the third die 108 by a thermal bonding layer 112. In some implementations, a thermal bonding layer 112 may also interpose the die 108 and heatsink 110 (not shown). The thermal bonding layers 112 may be different in composition and thickness than one another, or in other embodiments, may be substantially the same. The thermal bonding layer 112 may include on or more materials providing a thermal conductivity (k) that ranges between approximately 10 to 500 Watts per meter Kelvin (W/m-K). In an embodiment, a thickness of the thermal bonding layer 112 is between approximately 1 μm to approximately 50 μm. Exemplary materials for the thermal bonding layer 112 include boron nitride (BN), beryllium oxide (BeO), diamond, aluminum nitride (AlN), aluminum oxide (Al2O3).
In an embodiment, the thermal bonding layer 112 includes AlN. In a further embodiment, the thermal bonding layer has a thermal conductivity (k) of between approximately 20 and 200 W/m-K. In a further embodiment, the thermal bonding layer has a thermal conductivity (k) approximately 30 W/m-K. In an embodiment, the thermal bonding layer 112 includes diamond. In a further embodiment, the thermal bonding layer has a thermal conductivity (k) between approximately 200 and 500 W/m-K. In an embodiment, the thermal bonding layer 112 includes boron nitride (BN). In a further embodiment, the thermal bonding layer has a thermal conductivity k (in-plane) between approximately 50 and 200 W/m-K and/or thermal conductivity k (cross-plane) between approximately 2 and 10 W/m-K. In an embodiment, the thermal bonding layer 112 includes Al2O3. In a further embodiment, the thermal bonding layer has a thermal conductivity (k) between approximately 10 and 30 W/m-K. In an embodiment, the thermal bonding layer 112 includes BeO. In a further embodiment, the thermal bonding layer has a thermal conductivity (k) between approximately 200 and 500 W/m-K.
A plurality of thermal vias 114 extend through one or more of the first die 104, the second die 106 and the third die 108. The thermal vias 114 may be provided at a localized region. In other words, in some implementations, the thermal vias are not located throughout each of the die 104, 106, 108 but are provided in a defined regions thereof. In the present embodiment, the thermal vias 114 are positioned adjacent a hot spot 116 of the structure 100. In some embodiments, other regions of any of die 104, 106, or 108 may include no thermal vias, include few thermal views, or include a smaller area of thermal vias (e.g., percentage area of thermal via versus non-thermal via, which can be measured for example from a top view). The hot spot 116 may be a region of raised thermal conditions (e.g., heat) such as generated by high power semiconductor devices (e.g., high power transistors). In some implementations, the top spot is an area (e.g., 100-300 micron square area with a higher thermal (W/cm2) energy). While the thermal vias 114 are illustrated as extending through each die, the thermal vias 114 may be positioned in the BEOL features of each die including as discussed below. Exemplary materials for the thermal vias includes copper (Cu), diamond nanoparticles, AlN, boron nitride nanoparticles, and/or other suitable thermal-conducting materials.
The thermal vias 114 may be electrically isolated from the electrically conductive via and metal lines of the structure 100. In other words, the thermal vias 114 may be floating. The electrically conductive elements may be those metallization coupled to semiconductor devices (e.g., transistors) of a die. In an embodiment, the thermal vias 114 are spaced a distance of approximately 50 nanometers (nm) to approximately 500 nm from the electrical components (e.g., electrical via) (e.g., as measured in an x-direction/laterally). In an embodiment, the thermal vias 114 may be approximately 100 nm to approximately 10 μm in width. (In some implementations, the electrical components (e.g., electrical vias) are few nanometers to a few microns (μm).) The thermal vias 114 have direct contact to the thermal bonding layer 112.
Each die 204, 206, and 208 includes a semiconductor substrate 202 and a plurality of semiconductor devices 203 formed on the semiconductor substrate in FEOL processes. Such FEOL processes may form semiconductor devices 203 such as transistors on the substrate 202 to serve different functions. For example, as discussed above with respect to a logic die, these various transistors may form a central processing unit (CPU), a graphics process unit (GPU), access transistors for memory devices, image signal processing (ISP) circuitry, and/or other suitable circuitry. The transistors may be planar transistors or multi-gate transistors. A planar device refers to a device having a gate structure that engages a planar surface of a semiconductor active region. A multi-gate device generally refers to a device having a gate structure, or portion thereof, disposed over more than one side of a channel region. Fin-like field effect transistors (FinFETs) and gate-all-around (GAA) transistors are examples of multi-gate devices that have become popular and promising candidates for high performance and low leakage applications. A FinFET has an elevated channel wrapped by a gate on more than one side (for example, the gate wraps a top and sidewalls of a “fin” of semiconductor material extending from a substrate). A GAA transistor has a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on two or more sides. Because its gate structure surrounds the channel regions, a GAA transistor may also be referred to as a surrounding gate transistor (SGT) or a multi-bridge-channel (MBC) transistor. The channel region of a GAA transistor may be formed from nanowires, nanosheets, or other nanostructures and for that reasons, a GAA transistor may also be referred to as a nanowire transistor or a nanosheet transistor. The transistors are referred to herein generally, and each of the configurations discussed applies to the embodiments herein. As illustrated, the semiconductor device 203 includes a gate structure 203A and two source/drain regions 203B.
In some embodiments, the semiconductor substrate 202 includes silicon (Si). Alternatively or additionally, substrate 202 includes another elementary semiconductor, such as germanium (Ge); a compound semiconductor, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor, such as silicon germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In some implementations, the substrate 202 includes one or more group III-V materials, one or more group II-IV materials, or combinations thereof. In some implementations, the substrate 202 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GeOI) substrate. Semiconductor-on-insulator substrates can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
As indicated above, the semiconductor device 203 may include a transistor having source/drain regions 203B and a gate structure 203A in various configurations. The source/drain regions 203B may be doped regions and/or epitaxially grown regions defining the source/drain feature associated with a gate structure 203A of the semiconductor device. The source/drain regions 203B may be deposited using vapor-phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), molecular beam epitaxy (MBE), and/or other suitable processes. When source/drain region 203B is n-type, it may include silicon (Si) doped with an n-type dopant, such as phosphorus (P) or arsenic (As). When a source/drain region 306 is p-type, it may include silicon germanium (SiGe) doped with a p-type dopant, such as boron (B) or boron difluoride (BF2). In some embodiments, the source/drain regions 203B may include multiple layers such as layers with different dopant concentrations.
The gate structure 203A may include an interfacial layer, a gate dielectric layer, and a gate electrode. The interfacial layer of the gate structures 203A may include a dielectric material such as silicon oxide, hafnium silicate, or silicon oxynitride. The interfacial layer may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable method. The gate dielectric layer may be formed on the interfacial layer. The gate dielectric layer may include a high-k dielectric material, such as hafnium oxide. Alternatively, the gate dielectric layer of the gate structures 203A may include other high-K dielectric materials, such as titanium oxide (TiO2), hafnium zirconium oxide (HfZrO), tantalum oxide (Ta2O5), hafnium silicon oxide (HfSiO4), zirconium oxide (ZrO2), zirconium silicon oxide (ZrSiO2), lanthanum oxide (La2O3), aluminum oxide (Al2O3), zirconium oxide (ZrO), yttrium oxide (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), (Ba,Sr) TiO3 (BST), silicon nitride (SiN), silicon oxynitride (SiON), combinations thereof, or other suitable material. The gate dielectric layer may be formed by ALD, physical vapor deposition (PVD), CVD, oxidation, and/or other suitable methods. The gate electrode layer of the gate structures 203A may include a single layer or alternatively a multi-layer structure, such as various combinations of a metal layer with a selected work function to enhance the device performance (work function metal layer), a liner layer, a wetting layer, an adhesion layer, a metal alloy, or a metal silicide. By way of example, the gate electrode layer may include titanium nitride (TiN), titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), tantalum nitride (TaN), tantalum aluminum (TaAl), tantalum aluminum nitride (TaAlN), tantalum aluminum carbide (TaAIC), tantalum carbonitride (TaCN), aluminum (Al), tungsten (W), nickel (Ni), titanium (Ti), ruthenium (Ru), cobalt (Co), platinum (Pt), tantalum carbide (TaC), tantalum silicon nitride (TaSiN), copper (Cu), other refractory metals, or other suitable metal materials or a combination thereof.
Device level contacts 220A are formed connected to the semiconductor device 203 terminals and extend through an inter-layer dielectric (ILD) 220B. The ILD layer 220B may be deposited using PECVD, FCVD, spin-on coating, or other suitable deposition technique. In some embodiments, after formation of the ILD layer 220B, the structure may be annealed to improve integrity of the ILD layer 220B. Although not explicitly shown in figures it is understood a contact etch stop layer (CESL) may be deposited before the ILD layer 220B is deposited such that the CESL is disposed between the ILD layer 220B and the transistor features. The CESL may include silicon nitride or silicon oxynitride and may be deposited using CVD, ALD, or a suitable method. Contact structures 220A extend through the ILD layer 220B to the source/drain regions 203B and the gate structure 203A and provide an electrical connection to the semiconductor device 203. The contact structures 220A may be referred to as middle-end-of-the-line (MEOL) structures. The contact structures 220A may include ruthenium (Ru), cobalt (Co), nickel (Ni), tungsten (W), copper (Cu), or other metals, as examples. In some embodiments, the contact structures 220A may include a barrier layer to interface the ILD layer 220B. Such a barrier layer may include a metal nitride, such as titanium nitride, tantalum nitride, tungsten nitride, cobalt nitride, or nickel nitride. Additionally, in order to reduce contact resistance, a silicide feature may be a portion of the contact structure 220A and interface the transistor feature with which it contacts, such as gate structure 203A. The contact structure 220A may be formed by photolithography to pattern the ILD layer 220B, etching contact holes in the ILD layer 220B, and depositing conductive material using CVD, PVD, or other suitable method. Again, the device level contacts 220A carry an electrical signal of the semiconductor device 203 to provide the functionality of the respective die.
A multi-layer interconnect (MLI) is formed over the substrate 202 and includes a plurality of metal lines 218A and interposing metal vias 218B providing electrical connection to the semiconductor device 203 (through the device level contacts 220A). The metal lines 218A and metal vias 218B may also be referred to as electrical lines and electrical vias as they function to carry a single of the device. IMD layers 218C provide insulating layers within and around the MLI. The MLI is a BEOL feature as discussed above. While only three metallization layers are shown for ease of illustration, the MLI of the semiconductor structure 200 may include any plurality layers in the MLI, for example, an MLI may typically include about five (5) to about twenty (20) metal layers (or metallization layers including a metal line 218A). Each of the metal layers of the MLI include multiple vias 218B and metal lines 218A embedded in a dielectric or insulating layer, which may also be referred to herein as an intermetal dielectric (IMD) layer 218C. The vias 218B and metal lines 218A may be formed of titanium (Ti), ruthenium (Ru), nickel (Ni), cobalt (Co), copper (Cu), molybdenum (Mo), tungsten (W), aluminum (Al), and/or other suitable materials. In an embodiment, they are formed of copper (Cu). The IMD layer 218C may include silicon oxide, tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass (USG), or doped silicate glass such as borophosphosilicate glass (BPSG), fused silicate glass (FSG), phosphosilicate glass (PSG), boron doped silicate glass (BSG), and/or other suitable dielectric materials. In one embodiment, the IMD layer 218C includes silicon oxide.
Thermal vias 216 are formed laterally adjacent to the electrical lines and vias of the MLI and the thermal vias 216 also extend through the IMD layers 218C. Thermal vias 216 may be substantially similar to thermal vias 114 discussed with reference to
The thermal vias 216 may be placed adjacent those semiconductor devices 203 generating a hot spot 205, which may be a region of raised thermal conditions (e.g., heat) such as generated by high power semiconductor devices (e.g., high power transistors). In other regions of the die (204, 206, or 208) without a generated hot spot, there may be fewer to no thermal vias 216. In some implementations, a lateral distance between the electrical metal lines 218A or vias 218B and the thermal vias 216 is between approximately 50 nm and approximately 500 nm.
As illustrated a substrate 210 is formed over a top die, here die 208. In an embodiment, the substrate 210 is a carrier substrate. The substrate 210 may include silicon (Si), or other semiconductor materials such as germanium (Ge), silicon carbide (SiC), silicon germanium (SiGe), diamond, and/or other suitable substrate. In some implementations the substrate 210 may be omitted, and/or be used for fabrication to provide structural support. A heat sink 212 may be formed at an upper portion of the structure 200 (e.g., interfacing the thermal bonding layer 112).
Thermal bonding layers 112 are disposed between each die (e.g., between die 204 and die 206, between die 206 and die 208) and between an upper die and overlying component (e.g., between die 208 and substrate 210). The thermal bonding layers 112 may be substantially similar to the thermal bonding layers 112, discussed above with reference to
A through substrate via (TSV) 222 extends through one or more devices, e.g., die 206 and die 208. The TSV 222 may provide electrical connection between the dies. The TSV 222 may include titanium (Ti), ruthenium (Ru), nickel (Ni), cobalt (Co), copper (Cu), molybdenum (Mo), tungsten (W), aluminum (Al), and/or suitable materials. In some implementations, the TSV 222 is connected to one or more MLI structures of the die 204, 206, or 208. In some implementations, the TSV 222 provides an input/output path for access to upper die in the 3D-IC 200.
Still referring to the structure 200, various input/output features may be included (not shown) such as a controlled collapse chip connection (C4) layer, a package substrate, an interposer substrate, a ball-grid array (BGA) structure, a printed circuit board (PCB) and/or other features. Further, each of the die 204, 206, 208 may have a different size (footprint) and/or functionality.
Thermal vias 904 and electrical vias 906 are disposed on the first die 902. The thermal vias 904 may be substantially similar to the thermal vias 114 and 216 discussed above. The thermal vias 904 may be located above the device-level in BEOL features. In some implementations, the thermal vias 904 are copper. In an embodiment, the thermal vias 904 are isolated from the electrical vias 906 by dielectric 908, which may be substantially similar to IMD 218C discussed above. In an embodiment, the thermal vias 904 do not electrically connect to a semiconductor device of the die 902. The electrical vias 906 may be substantially similar to the electrical vias 218B discussed above. In some implementations, the electrical vias 906 include copper. In an embodiment, the electrical vias 906 are part of a multi-layer interconnect (MLI) and are coupled to metal lines. In an embodiment, the electrical vias 906 electrically connect (e.g., through the MLI) to a semiconductor device of the die 902. A plurality of electrical vias 906 are disposed adjacent the hot spot 910, e.g., adjacent a high-power semiconductor device. In some implementations, the adjacent electrical vias 906 are connected to the transistor terminals (e.g., source/drain or gate) of the high-power semiconductor device.
In an embodiment, the thermal vias 904 and the electrical vias 906 are substantially the same size and shape as illustrated in
In an embodiment, the die 902 is comprised in a semiconductor structure including a stack of die (e.g., a 3D-IC). The die 902 may be configured substantially similar to one or more of die 104, 106, or 108 discussed above with reference to
Thermal vias 904 and electrical vias 906 are disposed on the second die 912. The thermal vias 904 may be substantially similar to the thermal vias 114 and/or 216 discussed above. In some implementations, the thermal vias 904 are copper. The thermal vias 904 may be located above the device-level in BEOL features. In an embodiment, the thermal vias 904 are isolated from the electrical vias 906 by dielectric 908, which may be substantially similar to IMD 218C discussed above. In an embodiment, the thermal vias 904 do not electrically connect to a semiconductor device of the die 912. The electrical vias 906 may be substantially similar to the electrical vias 218B discussed above. In some implementations, the electrical vias 906 include copper. In an embodiment, the electrical vias 906 are part of a multi-layer interconnect (MLI). In an embodiment, the electrical vias 906 electrically connect (e.g., through the MLI) to a semiconductor device of the die 912.
In an embodiment, the thermal vias 904 and the electrical vias 906 are substantially the same size and shape as illustrated in
In an embodiment, the quantity of thermal vias 904 of the second die 912 is less than the quantity of thermal vias 904 of the first die 902 (e.g., having a hot spot 910). In an embodiment, the pitch between thermal vias 904 in a region of the second die 912 is greater than the pitch between thermal vias 904 in a corresponding region of the first die 902 (e.g., having a hot spot 910). In an embodiment, the pitch between thermal vias 904 of the second die 912 is about twice the pitch between thermal vias 904 of the first die 902 (e.g., having a hot spot 910). In an embodiment, in another region of the first die 902 (not that localized around the hot spot 910) the quantity of thermal vias and/or pitch of the thermal vias is approximately equal to the corresponding region of the second die 912.
In an embodiment, the die 912 is comprised in a semiconductor structure (e.g., a 3D-IC) including a stack of die. The die 912 may be configured substantially similar to one or more of die 104, 106, or 108 discussed above with reference to
Thermal vias 904 and electrical vias 906 are disposed on the first die 1002. The thermal vias 904 may be substantially similar to the thermal vias 114 and 216 discussed above. In some implementations, the thermal vias 904 are copper. In an embodiment, the thermal vias 904 are isolated from the electrical vias 906 by dielectric 908, which may be substantially similar to IMD 218C discussed above. In an embodiment, the thermal vias 904 do not electrically connect to a semiconductor device of the die 902. The electrical vias 906 may be substantially similar to the electrical vias 218B discussed above. In some implementations, the electrical vias 906 include copper. In an embodiment, the electrical vias 906 are part of a multi-layer interconnect (MLI) and are coupled to metal lines. In an embodiment, the electrical vias 906 electrically connect (e.g., through the MLI) to a semiconductor device of the die 902. A plurality of electrical vias 906 are disposed adjacent the hot spot 910, e.g., adjacent a high-power semiconductor device. In some implementations, the adjacent electrical vias 906 are connected to the transistor terminals (e.g., source/drain or gate) of the high-power semiconductor device.
The thermal vias 904 and the electrical vias 906 may be different configurations (e.g., shapes) and sizes. In an embodiment, such as illustrated, the thermal vias 904 are substantially rectangular (e.g., rectangular extending in the y-direction or the x-direction) in a top view. In an embodiment, such as illustrated, the electrical vias 906 are substantially rectangular (e.g., square) in a top view. The thermal vias 904 may include larger vias and smaller vias. In some implementations, the smaller vias are substantially the same size and shape as the electrical vias 906. In some implementations, the larger thermal vias are 2 to 80 times larger than the electrical vias 906 of the die 1002.
In an embodiment, the die 1002 is comprised in a semiconductor structure (e.g., a 3D-IC) including a stack of die. The die 1002 may be configured substantially similar to one or more of die 104, 106, or 108 discussed above with reference to
Thermal vias 904 and electrical vias 906 are disposed on the second die 1012. The thermal vias 904 may be substantially similar to the thermal vias 114 and/or 216 discussed above. In some implementations, the thermal vias 904 are copper. In an embodiment, the thermal vias 904 are isolated from the electrical vias 906 by isolation material 908, which may be substantially similar to IMD 218C discussed above. In an embodiment, the thermal vias 904 do not electrically connect to a semiconductor device of the die 912. The electrical vias 906 may be substantially similar to the electrical vias 218B discussed above. In some implementations, the electrical vias 906 include copper. In an embodiment, the electrical vias 906 are part of a multi-layer interconnect (MLI) and connected to metal lines of the MLI. In an embodiment, the electrical vias 906 electrically connect (e.g., through the MLI) to a semiconductor device of the die 912.
In some implementations, the thermal vias 904 and/or the electrical vias 906 are different shapes or sizes. In an embodiment, such as illustrated, the thermal vias 904 are substantially rectangular (e.g., square) in a top view. In an embodiment, such as illustrated, the electrical vias 906 are substantially rectangular (e.g., square) in a top view. In some implementations, the size of the thermal vias 904 and the electrical features 906 are substantially similar. In an embodiment, the area of thermal vias 904 of the second die 1012 is less than the area of thermal vias 904 of the first die 1002 (e.g., having a hot spot 910). In an embodiment, the area of thermal vias 904 of the second die 1012 is less than the area of thermal vias 904 of the first die 1002 when comparing an area surrounding the hot spot 910 of the first die 1002. For example, when stacked in a 3D-IC, a region A may be vertically aligned with a region B, where region A has a significantly greater area of thermal vias 904 than region B. In some implementations, other regions of the first die 1002 and the second die 1004 that are vertically aligned have substantially similar area of thermal vias 904.
In an embodiment, the die 1012 is comprised in a semiconductor structure (e.g., a 3D-IC) including a stack of die. The die 1012 may be configured substantially similar to one or more of die 104, 106, or 108 discussed above with reference to
Thermal vias 904 and electrical vias 906 are disposed on the first die 1102. The thermal vias 904 may be substantially similar to the thermal vias 114 and/or 216 discussed above. In some implementations, the thermal vias 904 are copper. In an embodiment, the thermal vias 904 are isolated from the electrical vias 906 by dielectric 908, which may be substantially similar to IMD 218C discussed above. In an embodiment, the thermal vias 904 do not electrically connect to a semiconductor device of the die 902. The electrical vias 906 may be substantially similar to the electrical vias 218B discussed above. In some implementations, the electrical vias 906 include copper. In an embodiment, the electrical vias 906 are part of a multi-layer interconnect (MLI) and are coupled to metal lines. In an embodiment, the electrical vias 906 electrically connect (e.g., through the MLI) to a semiconductor device of the die 902. A plurality of electrical vias 906 are disposed adjacent the hot spot 910, e.g., adjacent a high-power semiconductor device. In some implementations, the adjacent electrical vias 906 are connected to the transistor terminals (e.g., source/drain or gate) of the high-power semiconductor device.
The thermal vias 904 and the electrical vias 906 are different configurations (e.g., shapes) and sizes as shown in
In an embodiment, the die 1102 is comprised in a semiconductor structure (e.g., a 3D-IC) including a stack of die. The die 1102 may be configured substantially similar to one or more of die 104, 106, or 108 discussed above with reference to
Thermal vias 904 and electrical vias 906 are disposed on the second die 1112. The thermal vias 904 may be substantially similar to the thermal vias 114 and/or 216 discussed above. In some implementations, the thermal vias 904 are copper. In an embodiment, the thermal vias 904 are isolated from the electrical vias 906 by isolation 908. In an embodiment, the thermal vias 904 do not electrically connect to a semiconductor device of the die 1112. The electrical vias 906 may be substantially similar to the electrical vias 218B discussed above. In some implementations, the electrical vias 906 include copper. In an embodiment, the electrical vias 906 are part of a multi-layer interconnect (MLI) and are coupled to metal lines. In an embodiment, the electrical vias 906 electrically connect (e.g., through the MLI) to a semiconductor device of the die 1112.
In some implementations, the thermal vias 904 and/or the electrical vias 906 are similar shapes and/or sizes as illustrated in
In an embodiment, the die 1112 is comprised in a semiconductor structure (e.g., a 3D-IC) including a stack of die. The die 1112 may be configured substantially similar to one or more of die 104, 106, or 108 discussed above with reference to
Note that the present disclosure contemplates any combinations of rectangular or square vias, rectangular bar-shaped vias, polygon-shaped vias, ring shaped vias, and other differently shaped vias. The thermal vias may elongate in a x-direction or a y-direction. In some implementations, the ring shaped vias may be continuous structures (e.g., as illustrated in
Referring now to
The method 1200 at block 1202 forms a plurality of semiconductor devices (e.g., transistor devices) on a substrate. Referring to the example of
The method 1200 at block 1204 forms device-level contact features over and electrically coupled to the semiconductor device. In an embodiment, the semiconductor device is a transistor and contact features are formed to the S/D regions and/or the gate structure of the device. Referring to the example of
The method 1000 at block 1206 forms multi-layer interconnect (MLI) structure over the device-level contact features discussed in block 1204. A plurality of thermal vias are also formed in block 1206. Referring to the example of
In some embodiments, the thermal vias 216 are formed after forming the metal lines 218A and electrical vias 218B. In some embodiments, the thermal vias 114 are formed by etching through multiple IMD layer 218C in a single etching process, thereby forming deep trenches, then depositing a metal (e.g., Cu) into the deep openings. In some embodiments, the thermal vias 216 are formed concurrently with the forming of the metal lines 218A and metal vias 218V. In this way, the thermal vias 216 are formed in multiple etching and depositing steps. As discussed above, the thermal vias 216 have an end interfacing the ILD 220B and are insulated from electrical connections to the semiconductor devices 203. The patterning of the thermal vias 216 may be defined according to the method 1400 of
The method 1200 proceeds to block 1208 where a planarization process is performed. The planarization process may be a chemical mechanical polish (CMP) or other suitable process. In an embodiment, the CMP process reduces the surface roughness to less than approximately 1 nanometer (e.g., peak-to-valley vertical distance). Referring to the example of
The method 1200 proceeds to block 1210 where bonding layer(s) are deposited. In some implementations, multiple bonding layers are deposited. In some implementations, a single bonding layer is deposited. The bonding layer(s) may be conformally deposited. Referring to the example of
In an embodiment, the method 1200 continues to block 1212 where a carrier substrate or wafer is attached. Referring to the example of
The method 1200 includes block 1218 where a stack of substrates (e.g., die) or other components (e.g., heatsinks, etc.) are formed including the die fabricated in blocks 1202-1216. The additional die may be fabricated using one or more of blocks 1202-1216. Referring to the example of
In an embodiment, a through substrate via (TSV) 222 is formed through one or more die 1304 to connect the die 1304 to one another and/or to an input/output terminal of the structure. In some implementations, after attaching one or more die, an opening is etched extending through the die 1304 and filled with conductive materials to form the TSV 222. In some implementations, the TSV 222 is formed in multiple etching and deposition steps specific to a given die and then subsequently aligned when stacking the die 1304.
Referring now to
Referring to the example of
The method 1400 proceeds to block 1404 where a hot spot—an area of increased thermal energy as discussed above—is determined. The hot spot may be determined based on the design data of block 1402. In some implementations, the hot spot is determined by simulation of the design data of block 1402. In some implementations, the hot spots are identified from the design data by locating a high-power transistor.
Referring to the example of
In some implementations of block 1404 in addition to identifying a hot spot, the overall heating of the die of the 3D-IC structure is determined. In some implementations, the overall heating of the second die 1506 may be greater than the overall heating of the first die 1504 and the third die 1508. In some implementations, the overall heating of the second die 1506 may be an order of magnitude greater than the overall heating of the first die 1504 and the third die 1508. In an embodiment, the overall heating of the dies may be between approximately 0.05 W/cm2 and 2 W/cm2. In some embodiments, the overall heating of die 1506 may be less than the overall heating of die 1504 and/or die 1508.
In an embodiment, in determining a thermal performance of the 3D-IC and the die comprising the stack, a thickness (micron) and thermal resistance of each layer of each of die 1504, 1506, and 1508 are determined. Exemplary parameters are illustrated in
The method 1400 proceeds to block 1406 where a thermal via layout is determined to address the heating of the structure and in particular identified hot spots of block 1404. In some implementations, the thermal via layout defines the quantity, size, and/or placement of thermal vias. The thermal via layout may be determined such that the thermal performance of the 3D-IC is sufficient (e.g., a maximum temperature is within design limits).
Referring to the example of
The method 1400 proceeds to block 1408 where the thermal considerations of a bonding layer associated with the die are determined. The thermal considerations may include simulation and/or experimental results to determine a composition and/or thickness of a thermal bonding layer of the die (e.g., in conjunction with overlying die or components such as heat sinks) that provides for sufficient thermal performance. In an embodiment, a thermal conductivity (k) of one or more thermal bonding layers is determined. In a further embodiment, a thickness of each of one or more thermal bonding layers is determined.
Referring to the example of
In an embodiment, a heat transfer coefficient (HTC) boundary condition is set for the structure 1500 for the simulation methods including those discussed above. In an embodiment, a top HTC (HTCtop) between approximately 150-200 W/m2/K and a distance of approximately 0.5 to 1.5 mm are provided. In an embodiment, a bottom HTC (HTCbottom) between approximately 650-700 W/m2/K and a distance of approximately 0.5 to 1.5 mm are provided. In an embodiment, a side HTC (HTCside) between approximately 150-200 W/m2/K is provided. In an embodiment, the substrate 1502 is approximately 0.1 to 0.3 mm in height. In an embodiment, the heat sink 1514 is approximately 0.1 to 0.3 mm in height.
Although not limiting, the present disclosure offers advantages for IC semiconductor structures with distribution and dissipation of thermal energy. One example advantage is incorporating thermal vias on a die of a 3D-IC structure such that the thermal vias are surrounding a hot spot (e.g., a high-power device) of the die. The thermal vias may provide a vertical path for dissipation of the thermal energy. Another example advantage is incorporating a thermal bonding layer between die of the 3D-IC. The thermal bonding layer may provide a horizontal path for dissipation of the thermal energy.
One aspect of the present disclosure pertains to an integrated circuit (IC) structure including a first die and the a second die. The first die including a first transistor device formed on a substrate; a first multi-layer interconnect (MLI) over the substrate, wherein the first MLI includes a plurality of metal lines and interposing metal vias, and where the first MLI is electrically coupled to the first transistor device; and a first plurality of thermal vias laterally adjacent the first MLI. A thermal bonding layer is over the first die. The second die includes a second transistor device formed on another substrate; a second MLI over the another substrate, where the second MLI includes a plurality of metal lines and interposing metal vias and is electrically coupled to the second transistor device; and a second plurality of thermal vias laterally adjacent the second MLI. The second plurality of thermal vias is less than the first plurality of thermal vias.
In an embodiment, the first transistor device is a high-power transistor, and wherein the second transistor device is a logic transistor. In an embodiment, the thermal bonding layer is AlN. In a further implementation, the AlN extends from a dielectric layer of the first MLI of the first die to the another substrate of the second die. In another further implementation, the another bonding layer interposes the thermal bonding layer and the first die. The bonding layer may be at least one of Al2O3, SiO2, or SiN. In an embodiment, the first plurality of thermal vias laterally adjacent the first MLI is disposed in a first region of the first die and a third plurality of thermal vias is disposed in a second region of the first die. In a further embodiment, the first plurality of thermal vias has a greater area than the third plurality of thermal vias.
In an embodiment of the IC structure, the first plurality of thermal vias is disposed at a first pitch and the third plurality of thermal vias is disposed at a second pitch, the second pitch greater than the first pitch. In a further embodiment, the second plurality of thermal vias of the second die is disposed as the second pitch.
Another aspect of the present disclosure pertains to an integrated circuit (IC) structure. The IC structure includes a plurality of vertically stacked dies; a thermal bonding layer extending between a first die and a second die of the plurality of vertically stacked dies; and a plurality of thermal vias on at least one of the first die or the second die. The thermal bonding layer comprises a material having a thermal conductivity between approximately 10 and 500 W/m/K. The plurality of thermal vias are disposed adjacent a high-power transistor device.
In an embodiment, the material is AlN, diamond, boron nitride, Al2O3, BeO, or combinations thereof. In a further embodiment, the material extends from an uppermost dielectric layer of the first die to a surface of a substrate of the second die. In an implementation, the thermal bonding layer further includes another material of silicon nitride or silicon oxide. In an embodiment, the end of each of the plurality of thermal vias interfaces the thermal bonding layer. In an implementation, a total number of thermal vias of the first die is different than the total number of thermal vias of the second die. In a further example, the plurality of thermal vias is on a first region of the first die and a second plurality of thermal vias is on a second region of the first die, the first region having a greater density of thermal vias than the second region.
Another aspect of the present disclosure pertains a method of forming an integrated circuit (IC) structure. The method includes forming a first transistor device on a first die and a second transistor device on a second die. A first plurality of thermal vias is formed on the first die adjacent the first transistor device and a second plurality of thermal vias on the second die adjacent the second transistor device. The first plurality of thermal vias has a greater area than the second plurality of thermal vias. A thermal bonding layer is deposited on a surface of the first die. A second die is attached to the thermal bonding layer.
In an embodiment, depositing includes one of chemical vapor deposition (CVD) or physical vapor deposition (PVD). In a further embodiment, the forming the first plurality of thermal vias is performed after forming a multi-layer interconnect (MLI) on the first die. In an implementation, the method also includes providing design data of a circuit of the first die; identifying a hot spot on the first die; and positioning the first plurality of thermal vias adjacent the hot spot.
The details of the method and device of the present disclosure are described in the attached drawings. The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application claims the benefit of U.S. Provisional Application No. 63/594,300, the entirety of which is herein incorporated.
Number | Date | Country | |
---|---|---|---|
63594300 | Oct 2023 | US |