This invention relates generally to lithography and more specifically for protecting lithography/lithographic masks/reticles with their lithography/lithographic patterns used in printing of integrated circuits.
Patterned lithographic masks or lithography masks are used in lithography for printing electronic circuits on silicon wafers. There are many different types of masks used in the industry. Photomasks are used for 1:1 contact printing or proximity printing. Alternately, they are used for 5:1 or 4:1 projection printing. From the perspective of principles of operation, a lithographic photomask may be a binary photomask or a phase-shift photomask (PSM) for higher resolutions. The mask may also be an extreme ultraviolet (EUV) mask.
A lithography mask has a high-resolution pattern that absorbs electromagnetic radiation incident on the mask. For a photomask, the pattern is deposited on a glass substrate and absorbs optical light. For an EUV mask, the pattern is deposited on a reflective multilayer (ML), typically of silicon (Si) and Molybdenum (Mo). The feature sizes of the pattern are ever-shrinking.
The quality of the pattern is very critical to the semiconductor manufacturing process, and the lithography masks must therefore be produced free from any defects. Maintaining the masks in this defect-free state is essential if high device yields are to be maintained. In addition to particulate contamination, the various cleaning, inspection and handling operations that the mask must undergo can cause a slow deterioration of the pattern.
Additionally, the pattern, typically made out of metal, is an electrical conductor, whereas the underlying substrate is an insulator. As a result of various processes, such as by electrostatics or ultraviolet (UV) illumination-emission of photoelectrons, islands of metallic pattern can undesirably be charged to different electrical potentials. If a potential difference of a few volts occurs over a short distance of a micron, an electrical discharge can occur. This discharge ablates material from the metallic pattern causing its erosion and/or formation of pinholes in it and causing particles to land on the mask thereby producing defects. When such defects are multiplied by the numerous masks required to fabricate a given semiconductor device, the yield loss can become significant. If the same mask prints hundreds of chips on the same wafer, then all the chips may be dead, and if the same mask is used for all the wafers then one killer defect can bring total good chip yield to zero.
One approach to protecting a metallic photomask pattern as described in U.S. Pat. No. 3,906,133 to Flutie is to deposit a simple protective coating thereon. That patent describes an iron oxide masking layer on a transparent substrate which has a protective nitrocellulose coating thereon. The coating has a thickness greater than the height of protrusions on the surface. However, these techniques are directed only towards contact printing wherein the photomask is placed in intimate contact with the photoresist coated wafer.
But, in projection printing, the photomask is spaced from the resist coated wafer and the light passing through the photomask must be focused onto the resist coating by an optical system. It has been observed that such protective coatings do not have uniform thickness and cause reflection of the light due to the difference between the refractive indices of the substrate and the coating material, resulting in poor pattern definition. Additionally, nitrocellulose film coating has other disadvantages. Being made of plastic, it can be easily scratched and being a good dielectric, its surface can readily charge.
If the mask/reticle is not un-pelliclized, the substantial charge on a typical underlying quartz substrate attracts particles that rain upon the mask. Furthermore, the surface charge also electrostatically attracts particles raising the number of defects. Even though “clean rooms” used for very large-scale integration (VLSI) minimize the number of such defect causing particles, the masks may still need be cleaned to remove those particles, resulting in a loss of yield. As feature sizes shrink, smaller and smaller particles not only can be accumulated much faster with charged surfaces, but they are also much more difficult to remove with known cleaning techniques. The combination of more frequent cleaning, susceptibility of surface scratches during cleaning, and accumulation of unremovable defects results in frequent removal and reapplication of such film, causing further defects in the mask pattern.
For addressing the needs of an inexpensive design for protecting the metallized surface of a mask while maintaining high definition and low defect densities, an alternative technique was proposed in U.S. Pat. No. 4,537,813 to Birol. This patent is incorporated by reference herein for all purposes in its entirety. In this patent, plasma deposited silicon dioxide (SiO2) is used as a protective layer over metallic patterns in order to prevent electrostatic damage and wear during cleaning. Deposited film has substantially matching index to that of underlying substrate, such as glass.
The above results in good step coverage around the metallic pattern and further bulk conductivity to eliminate charging non-uniformity or voltage differential between islands of the metal. In addition, a surprising additional benefit is observed: the printed pattens have higher resolution because thickness of the coating at the edge of Cr patterns acts as a phase-shift enhancement. However, a drawback of the above design is that small crystalline particles in the SiO2 film cannot be fully eliminated. Their diffraction patterns are thus printed as defects. As feature sizes of today's design rules shrink, the step coverage of the deposited SiO2 film is not satisfactory, even when deposition is performed using plasma enhanced chemical vapor deposition (PECVD).
Atomic layer deposition (ALD) is a type of vacuum deposition technique. ALD utilizes a sequential exposure of gaseous reactants for the deposition of atomically sized thin films. The reactants are often metal precursors consisting of organometallic liquids or solids used in the chemistry by vaporizing under vacuum and/or heat conditions. The reactants are introduced as a series of sequential, non-overlapping pulses. In each of these pulses, the reactant molecules react with a substrate or wafer surface in a nucleation-based and self-limiting way.
Consequently, the reaction ceases once all the sites on the wafer/substrate surface are consumed. Between the pulses, a purge step is applied to remove the excess reactants and byproducts from the process chamber. Using ALD, it is possible to grow materials uniformly and with high-precision on arbitrarily complex and large substrates. Some examples of films produced using ALD are SiO2, Si3N4, Ga2O3, GaN, Al203, AlN, etc.
There is prior art utilizing ALD for forming a protective coating in photomasks. U.S. Patent Publication No. 2016/0342079 A1 to Oh et al. discloses a photomask that includes a transparent substrate, a mask pattern formed on the substrate, and a coating covering sidewalls of the mask pattern. The coating may be formed using ALD. The objective of their design is to make a phase-shift photomask (PSM) and not to protect the underlying mask pattern. Therefore, as a shortcoming of their technology, the coating on top of the masked pattern is removed in order to make the PSM. Their design cannot protect the lithographic pattern.
It is therefore desirable to protect a lithography/lithographic mask or reticle and its lithography/lithographic pattern by a protective coating. It is also desirable for the coating to be nucleation-based and conformal to the mask/reticle and be extremely uniform over the entire mask/reticle. The mask/reticle may be a photomask/photo-reticle or an EUV mask/reticle. Such a design would achieve the advantages of electrostatic charge uniformity over the pattern while at the same time eliminating small crystalline particles that are otherwise inevitably attracted to it in the techniques of the prior art. Techniques are also absent from the prior art about doping such a coating with hydrogen in a controlled manner.
In view of the shortcomings of the prior art, it is an object of the present technology to provide methods and apparatus/systems for depositing a protective conformal coating or film using ALD over a lithographic pattern of a lithography mask/reticle. The lithographic pattern absorbs electromagnetic radiation incident on the mask/reticle.
It is also an object of the present technology to deposit such a protective conformal coating on a mask that may be a photomask or an extreme ultraviolet (EUV) mask. In the case of an EUV mask, EUV electromagnetic radiation or illumination is transmitted through an instant thin coating before being reflected by the mask.
It is another object of the instant design to produce such a protective conformal coating one atomic layer at a time using ALD. The ALD may be plasma-enhanced ALD (PEALD).
It is another object of the present design to deposit the conformal coating using continuous-flow PEALD.
It is another object of the current invention to form such a protective conformal coating by nucleation-based reactions.
It is still another object of the invention to deposit such a protective coating over a reticle that is pelliclized afterwards.
It is another object of the present technology to achieve excellent step coverage of its protective conformal coating deposited over the lithographic pattern.
While performing continuous-flow PEALD, it is an object of the invention to produce a high quality/uniformity conformal coating/film with fast cycle-times and low cost of operation.
It is also an object of the invention to dope such a conformal coating with hydrogen in a controlled manner.
Still other objects and advantages of the invention will become apparent upon reading the detailed description in conjunction with the drawing figures.
The objects and advantages of the present technology are secured by methods and apparatus/systems for depositing a conformal coating or film on a lithographic mask or a reticle. The conformal coating is meant to protect the electromagnetic radiation absorbing pattern on the mask that is used for printing integrated circuits on wafers. The objective of the coating is to protect the pattern while the protected lithographic mask undergoes various lithographic operations during its lifecycle including cleanings, repairs, inspections, etc.
The protective coating is deposited using the techniques of atomic layer deposition (ALD). The coating thus deposited is therefore produced by nucleation-based chemical processes, as opposed to chemical vapor deposition (CVD) based processes of the prior art. The coating is deposited conformally on all sides or sidewalls as well as on the top/tops or distal end/ends of the pattern on the lithographic mask.
The conformal coating thus deposited is retained on all sides as well as the top(s) of the pattern to render it protection during the lifecycle of the mask. In contrast to the present technology, the techniques of the prior art remove the conformal coating from the top(s) in order to produce phase-shift masks. Since the present design is aimed at protecting the pattern on the substrate completely, the conformal ALD coating is retained around all edges/peripheries of the pattern, as opposed to just the sides as in prevailing technologies.
In various embodiments, the lithographic mask protected by instant conformal coating may be a binary photomask, a phase-shift photomask (PSM), a projection photomask, a contact photomask, a proximity photomask, an extreme ultraviolet (EUV) mask or the like. In related variations, the instant conformal coating may be used to protect a reticle of any of the above masks rather than the entire mask. In still other variations, the reticle may be housed in or protected by a pellicle after the depositing of the instant conformal coating.
In a preferred embodiment, the conformal coating is composed of an oxide. Preferably, the oxide coating is actually silicon dioxide (SiO2) that acts as a “leaky dielectric”. The “leakiness” of the dielectric is facilitated by doping the conformal coating with a suitable doping agent/specie or dopant. Preferably still, the conformal protective coating is composed of more than one type of materials or chemical species, such as a combination of more than one oxides.
In another embodiment, the substrate underlying the metallic pattern of the mask is a glass substrate. The glass substrate may actually be composed of fused silica or quartz or a soda-lime or the like. The present techniques allow for the conformal coating to take place at much less extreme temperatures than the techniques of the prior art. More specifically, the instant coating can take place at a substantially room temperature, or at least in the range of 20-60° C.
In other embodiments, the refractive indices of the conformal coating and substrate materials are kept substantially similar. More specifically, the difference in indices is kept less than or equal to 1/100. This allows for avoidance of reflection and interference patterns at the coating/substrate interface resulting in less optical defects and ultimately greater yield.
In embodiments where the lithographic mask being protected by the instant conformal coating is an EUV mask, the refractive index of the coating may be matched to the index of the capping layer or the index of the topmost layer of the multilayer (ML) of the EUV mask. This allows for ease of optical inspections of the EUV mask under actinic wavelengths.
In a set of highly preferred embodiments, the ALD process employed for depositing the instant conformal coating is a plasma-enhanced ALD (PEALD) or a plasma-assisted or plasma-activated ALD (PAALD). The nucleation-based processes occurring in such a PEALD-based or PAALD-based design allow for the instant conformal coating to deposit one atomic layer at a time, and henceforth with extremely uniform thickness. In a set of related highly preferred embodiments, the PEALD or PAALD used is a continuous-flow PEALD or PAALD.
The instant coating resulting in the above-described embodiments have a number key benefits or advantages over the prior art. These include having an extremely uniform electrostatic potential across the coating by appropriate doping of the coating/film. Henceforth, this avoids forming of islands of electrostatic potential that may otherwise result in arching and consequent damaging of the pattern, as in the techniques of the prior art. Thus, instant protective conformal coating protects the underlying pattern not only from mechanical damage but also electrostatic/static arching. Differently put, instant protective conformal coating provides mechanical as well as electrostatic protection to the lithographic pattern. In the case of an EUV mask, it protects the mask from collecting particles with electrostatic charge and from mechanical damage during handling or cleaning.
Other advantages include uniform stochiometric composition and consequently uniform index of refraction, and excellent step coverage. The benefits also include no optical defects due to crystalline particles as in the prior art, because the chemical process generating the coating is nucleation-based per above. The benefits of the instant design further include the ability to grow a gradient based coating such as using different chemical species for matching various materials underneath. Furthermore, since the coating is ultra-smooth, there is reduced scattering of incident electromagnetic radiation.
In a set of highly preferred embodiments, hydrogen is used as the doping specie or as the dopant in the instant conformal coating. The amount hydrogen doped is carefully controlled by a number of modifications to the design and workings of the prior embodiments. These embodiments utilize the hybrid reactor design disclosed and taught in the incorporated by reference U.S. Pat. No. 11,087,959. More specifically, after each phase of the deposition of instant conformal coating by PEALD, the plasma source and the precursors are switched off. Now, the doping phase commences in which hydrogen is introduced into the chamber and an RF potential is provided to the metal plate of the hybrid reactor. This results in the formation of hydrogen plasma around the coating deposited in the prior PEALD phase.
Simultaneously, pulsed DC voltage in the form of alternating positive and negative voltage pulses is supplied to the platen. The positive pulses neutralize the electrons from the plasma around the platen on which the lithography mask covered by the instant conformal coating rests. Negative pulses result in H+ ions from the plasma being attracted and bombarded/sprayed onto the coating. After each PEALD phase the resistivity of the coating is measured to determine if it has reached a desired value. Otherwise, doping phase is performed. The above apparatus and methods allow a practitioner to accurately control the amount of hydrogen doped into the coating. The PEALD and doping phases are repeated as many times as needed to achieve the desired thickness and resistivity levels of the conformal coating.
Hydrogen as a dopant renders the instant coating a number of desirable properties. These include much better electrostatic charge protection than various other doping species. These also include a much higher/better elimination of voids and crystalline particles from the coating as compared to other dopants. The crystalline particles would otherwise cause optical defects in the coating, thereby reducing the efficacy of the mask and consequently reducing the manufacturing yield. Other relevant teachings of the prior embodiments apply to the present embodiment using hydrogen as the dopant also.
Clearly, the system and methods of the invention find many advantageous embodiments. The details of the invention, including its preferred embodiments, are presented in the below detailed description with reference to the appended drawing figures.
The figures and the following description relate to preferred embodiments of the present invention by way of illustration only. It should be noted that from the following discussion, alternative embodiments of the structures and methods disclosed herein will be readily recognized as viable alternatives that may be employed without departing from the principles of the claimed invention.
Reference will now be made in detail to several embodiments of the present invention(s), examples of which are illustrated in the accompanying figures. It is noted that wherever practicable, similar or like reference numbers may be used in the figures and may indicate similar or like functionality. The figures depict embodiments of the present invention for purposes of illustration only. One skilled in the art will readily recognize from the following description that alternative embodiments of the structures and methods illustrated herein may be employed without departing from the principles of the invention described herein.
The present technology will be best understood by first reviewing a lithography mask on which an instant conformal protective coating is deposited as shown in
Features 104A-D may be of the same height although that is not a requirement for protective conformal coating of the present design to protect pattern 104 and accrue its benefits. Explained further, the tops or the distal ends of features 104A-D may not be in the same plane, and further the features may not even be connected to one another, and/or may not have top surfaces that are even. The present technology admits of any number, sizes and shapes of such features 104A, 104B, . . . , connected or isolated, of electromagnetic radiation absorbing pattern 104 of protected lithography mask 120. Therefore, pattern 104 may have many tops or distal ends and of course many sidewalls or simply sides.
By a conformal coating we mean that the coating is deposited on the pattern features regardless of their number and sizes and while conforming to their exterior shapes, regardless of the form of the shapes. In other words, it completely surrounds or envelopes all features of the pattern in a geometrically conformal manner. Of course, there is one side of the features that is attached to the underlying mask/substrate on which the coating is not deposited.
With the above in mind, in order to avoid unnecessary repetition and to avoid detraction from the key principles, the teachings and drawing figures of this disclosure may reference lithographic patterns, such as pattern 104 of
Conformal protective coating or protective conformal coating or simply conformal coating 110 of
It is critical that such a protective coating not introduce image distortions and degradation. In the techniques of the prior art, such as U.S. Pat. No. 4,537,813 to Birol referenced above in the Background section and incorporated by reference herein, it was shown that actually such a coating may improve local image resolution in photolithography. However, since the protective coating was deposited via chemical vapor deposition (CVD) or plasma enhanced CVD (PECVD), it was inherently prone to physical and chemical imperfections. The present technology overcomes these shortcomings of the prior art by growing protective coating 110 of
Before proceeding further, it will be appreciated by the skilled reader that lithography is a process for microfabricating a wafer. Photolithography uses light to transfer a geometric pattern via optical refraction from a photomask (also referred to as an optical mask) to a light-sensitive or photosensitive chemical photoresist layer on the wafer. On the other hand, in extreme ultraviolet (EUV) lithography, an EUV mask is used for transferring the mask pattern onto the wafer via reflection.
Furthermore, in projection photolithography, the photomask does not stay in direct contact with the wafer, or in other words, the photomask stays above the wafer. Such a photolithography typically employs demagnification of the pattern in a 1:4 or 1:5 ratio while transferring it onto the wafer. On the other hand, in contact lithography, also known as contact printing, pattern image is printed onto the wafer via shadow-graphy in a 1:1 ratio by illumination of a contact photomask in direct contact with the photoresist on the wafer.
In order to overcome some of the limitations of contact photolithography, in a related technique known as proximity photolithography, the mask is kept ever so slightly above the wafer. As a consequence of the differences in their operating principles, projection photolithography can only capture a limited spatial frequency spectrum from photomask, whereas contact printing has no such resolution limit. However, it is more sensitive to the presence of defects and/or resist residue on the photomask or on the wafer.
In the case of a projection photomask, a typical setup involves a reticle that undergoes a step-and-repeat operation. An EUV mask also uses a reticle that has a pattern to print a subset of a single layer of the wafer. In either case, in order to expose the entire wafer a reticle has to be stepped and repeated. On the other hand, a contact photomask provides a 1:1 exposure to the underlying wafer without such a step-and-repeat operation.
Thus, depending on the type of technology, a mask may contain a pattern that can be printed in a single exposure to cover the entire wafer in a 1:1 manner, whereas a reticle only refers to a subset of the mask pattern for a single layer or section of the wafer. Most typically, an EUV setup uses a reticle exposing only a section of the wafer via electromagnetic reflection. A set of steps are then typically required to expose the entire wafer in what is referred to as a step-and-scan technology. Unless otherwise explicitly distinguished, the relevant benefits of the present technology discussed in this disclosure apply to both a lithography/lithographic mask or a (lithography/lithographic) reticle.
Furthermore, a photomask may be a binary photomask in which a photomask blank is covered with patterned layer of opaque material. Its transmission properties are binary, i.e. either transparent (“1”) or opaque (“0”). A photomask may also be a phase-shift mask/photomask (PSM) in which higher resolution and increased DOF (Depth of Focus) is achieved by controlling the phase shift and the transmission rate of the electromagnetic radiation 10.
The advantageous aspects of the present technology apply to all different types of lithographic techniques and their respective masks/reticles, some examples of which are discusses above. More specifically, in a preferred set of embodiments, an instant conformal coating, such as coating 110 of
Armed with the above knowledge, let us proceed further with
This is explicitly shown in
In contrast, a key benefit of the present technology is that with appropriate/controlled doping of its instant conformal coating, the conductivity and resistivity of the coating can be controlled. Thus, if electromagnetic absorbing pattern 104/154 of
In preferred embodiments, coatings 110 and 160 of
This is clear if we take advantage of
Further shown in
Regardless, as a result of this index matching, there is no unwanted reflection of incident electromagnetic radiation 10 at the interface of conformal coating 110/160 and the underlying substrate (or ML). This reduces or eliminates any unwanted interference patterns at or near the interface, thereby eliminating undesired optical artifacts. For photomasks, this consequently means more high-quality printing and greater yield. The indices per above may be substantially matched, exemplarily up to 1/100th decimal places or even lower.
This aspect of the present technology is clear from
Therefore, while referring to the exemplary embodiment of the drawing of
Of course, in practical applications, the number of such overlying and underlying layers of SiO2 and/or other materials may be more i.e. in dozens or hundreds or more. In a similar manner, any number and types of such layers may be deposited in a tapered manner one on top of another as an instant gradient conformal protective coating based on the instant principles.
As taught in the above-mentioned references, since one of the attributes of the above continuous-flow process is that it cuts the cycle-time or process time dramatically i.e. approximately by half as compared to other techniques. Conversely, the present technology increases the throughput by a factor of 2. As a result, the present design reduces the probability of particles falling on the substrate also approximately by half, because the process/cycle-time is cut in half as well. This has the advantage of making instant coatings very defect-free. Furthermore, as noted above in the present design, the plasma does not contact the substrate and does not damage it due to its high-density ion flux.
Since the lithographic mask/reticle cannot typically be cleaned by brushing, it requires sending it back to the mask shop for cleaning and re-pelliclization. It is during this cleaning process that the mask/reticle is most vulnerable to damage. Also, current leading-edge devices have “matched” reticles belonging to a set of reticles for exposing the entire wafer. If one reticle is destroyed then the entire set of reticles needs to be replaced. This is extremely costly and cannot be done readily, thus causing costly interruption of production.
The present technology overcomes such a shortcoming of the prior art. More specifically, if the projection photomask or EUV mask or their reticle has an instant protective coating, such as coating 110 or 160 of
The advantages of the present technologies are also accrued by such pelliclized lithographic masks/reticles as shown in
These rained/settled particles are subsequently hard to clean. However, if the mask is protected by an instant conformal protective coating that acts as a leaky dielectric, these ablated and rained/settled particles can be aggressively removed, for example, by brush cleaning or by aggressive chemical cleaning. This is because the particles are not held with electrostatic charge as a result of the leaky dielectric nature of the instant coating. Exemplary aggressive chemical cleaning in such a scenario include cleaning with buffered hydrofluoric acid (HFl).
More specifically,
Because an EUV mask is a reflective mask, incident electromagnetic radiation 10 is reflected back off mask 300 as shown. The lithographic pattern itself is defined in an absorber layer/material 304 on top of the multilayer stack as shown. This absorber is typically made of a material such as tantalum-based compounds. The pattern in the absorber selectively blocks or allows EUV light to reflect off mask 300 in specific areas, creating the necessary image for lithography.
Typically, an EUV mask is slightly curved for facilitating convergence of incident EUV rays. The reflectivity of the EUV mask results from its multilayers. The reflective EUV mask typically demagnifies/reduces the printed image by 4:1 as result of various optical elements such as mirrors employed in the setup. Sometimes substrate 306, ML 302 and capping layer 314 are collectively referred to as the substrate. Absorbing/absorber pattern 304 is especially suited for absorbing extreme ultraviolet (EUV) electromagnetic radiation 10 with wavelength of 13.5 nm, also sometimes referred to as “soft” x-rays.
EUV or soft x-ray electromagnetic radiation 10 incident on lithography mask 300 is absorbed by pattern 304 where it is present, and reflected my ML reflective layer 302 where there is no absorbing pattern 304. Ultimately, pattern 304 and more specifically its “negative” is printed to a wafer, or portion thereof if mask 300 is actually an EUV reticle. The mask/reticle with its photoresist is then developed. EUV lithography holds great promise because of its ability to print extremely small feature sizes.
However, an EUV mask must undergo strenuous inspections throughout manufacturing and printing process, in order to ensure that it is defect-free and also free from undesired particles or impurities. Especially ML layer 302 with its many alternating constituent layers is prone to entrapping particles, resulting in fabrication defects and lower yields. The present technology improves EUV lithography by protecting an EUV mask including its lithographic pattern 304 by a protective conformal coating 310 shown in
Based on above, a preferred EUV mask architecture based on the present technology employs depositing a thin instant protective coating on an EUV mask blank. The blank is then inspected for any trapped particles or imperfections under optical light. Afterwards, the initial protective coating may be removed, for example by etching. Alternatively, the initial instant protective coating is left on the EUV blank.
Regardless, lithographic patterning is then performed by depositing the absorber material. Finally, another thin instant conformal protective coating is deposited with its benefits described herein. Because the instant coating is very thin and uniform, it does not prevent/affect any EUV inspections that are then performed during production. This is so, even if the initial protective coating is left on the mask blank per above. For EUV lithography, instant conformal protective coating may be made from materials other than SiO2, such as Al2O3, etc.
Furthermore, EUV pellicles, such as pellicle 312 of
To further elucidate the benefits of the instant design over traditional art,
More specifically, thickness values 410A, 410B, 410C, 410D and 410E at top, left, center, right and bottom locations respectively of the wafer as measured in the experiment are indicated. Similarly, refractive index values 412A, 412B, 412C, 412D and 412E at top, left, center, right and bottom locations respectively of the wafer as measured in the experiment are indicated.
From
The coating techniques of the present technology as shown in the experiment of
In a similar manner,
More specifically, thickness values 430A-E at top, left, center, right and bottom locations respectively of the mask as measured in the experiment are indicated. Similarly, refractive index values 432A-E at top, left, center, right and bottom locations respectively of the mask/reticle as measured in the experiment are indicated. As before, the coating techniques of the present technology as shown in the experiment of
From
More specifically, thickness values 442A-E at top, left, center, right and bottom locations respectively of the mask/reticle as measured in the experiment are indicated. Similarly, refractive index values 442A-E at top, left, center, right and bottom locations respectively of the mask/reticle as measured in the experiment are indicated. As before, the coating techniques of the present technology as shown in the experiment of
From
Let us now review a set of highly preferred embodiments that further enhance the properties of the instant conformal coating by using hydrogen as a doping specie or as a doping agent or as a dopant. By controlling the amount of hydrogen, the amount of “leakiness” of the dielectric nature of the coating can be controlled. In other words, by controlling the amount of hydrogen embedded in the coating, one can alter the resistivity or alternately the conductivity of the dielectric coating as desired. Hydrogen also has excellent optical properties. In other words, it does not impart or produce any crystalline particles in the coating. Such crystalline particles would otherwise produce optical defects in the mask that would reduce the yield or economic efficiency of the operation per above discussion.
In order to incorporate hydrogen in an informal coating of the present design, a hybrid or specialized PEALD reactor based on the instant principles is employed. Such a hybrid PEALD chamber or equipment or reactor 500 is shown in
Let us now discuss in detail the workings of reactor/chamber 500 and see how one can effectively incorporate hydrogen in a conformal coating of the present design. Continuing to take advantage of
The rest of the applicable elements and their reference numerals of rector 500 of
Also shown in
Furthermore, there are four small polytetrafluoroethylene (PTFE) corner steps or inserts 143G1, 143G2, 143G3 and 143G4 in recess 143E as shown. The purpose of these inserts is so that when mask 143H is placed in recess 143E, only its four corners contact PTFE corner inserts 143G. This is so that the bottom of mask 143H does not touch the ALD plate in order to avoid picking up contaminants and baking them into the back of the mask. Exemplarily, inserts 143G are 1 millimeter high.
While reviewing
RF switch 508′ is in turn fed/supplied by an RF power supply 512 with an optional auto-tuner 514 from the above-incorporated teachings. Another input to switch 508′ is electrical ground 509 as shown. According to the chief aspects of the present embodiments, there is also a pulsed DC source 534 with an output voltage waveform 540F shown in the dotted oval shape in
In the alternate position 513 of TPTT switch 508′ shown by the dashed lines, input ports 510A′, 510B′ and 510G′ are connected to respective output ports 510E′, 510F′ and 510H′. This routing is performed electrically/electronically in response to control inputs that may be provided programmatically based on computer-generated/software signal(s) delivered to switch 508′. Note that output ports 510F′ and 510I′ of TPTT switch 508′ remain unused in the implementation shown in
After having discussed the design of the present hybrid embodiments, let us now look at their operation based on the instant principles. When the control inputs of TPTT switch 508′ (not shown in
Referring to the timing diagram shown in
The above facilitates a self-limiting ALD reaction between the excited neutrals of the gas(es) pumped from above via feedthroughs and the gas(es) pumped from below and the substrate or the surface of lithography mask per prior teachings. Such a self-limiting reaction results in the formation of an atomically-sized PEALD film on the mask. In the preferred embodiment, such a film consists of or includes SiO2. During this PEALD phase, pulsed DC source 534 is connected to output port 510I′ by switch 508′ and is thus not used.
Explained even further detail, a suitable plasma gas/precursor A, e.g. O2 or H2, is flowed from above into the chamber and a suitable precursor/gas B for SiO2 is flowed or pulsed into chamber 500 via feeding lines from below the chamber per prior teachings. Exemplarily, a suitable precursor for SiO2 may be Hexamethyldisiloxane (HMDSO). Once a desired number of monolayers of the ALD film of SiO2 have been deposited on the substrate, the precursors are switched off. The above operation is characterized by interval 542A in the timing diagram shown in
Now the operation switches over to the doping phase shown by time interval 542B in
Concurrently, and as a result of position 513 of switch 508′, RF power from RF power supply 512 and optional auto tuner 514 to metal plate 522A is turned on as characterized by waveform 540E in
During time interval 542B, pulsed DC source 534 with waveform 540F is now connected to heated platen 143D by switch 508′ via electrical connection/input 532 of
In the preferred embodiment, the operation is stopped between time intervals 542A and 542B and a measurement of the resistivity or alternately conductivity of the surface of the coating is performed. Then the doping phase may be commenced depending on whether the desired level of resistivity and consequently “leakiness” of the coating has been realized.
According to the instant principles, during time interval 542A when switch 508′ is in position 511, the operation proceeds as a continuous-flow PEALD process of the prior teachings for depositing SiO2 layer on a lithographic mask. During this phase, plasma gas/precursor A is continuously flowed into the chamber.
Gases introduced from feedthroughs above ICP source 104A′ will be under higher pressure than the same gases once they have traveled through the instant sparse showerhead holes 522B and 523B (not shown in
Note that for performing ALD based on instant principles, rotation of the platen is not necessary because one gets uniformity without rotation. This is because of the self-limiting nature of the ALD reaction. This also avoids/reduces turbulence as horizontal flow of gases hits the edge of the ALD plate/platen, resulting in a more laminar flow of gases. That is why, reactor of
Now, when the operation switches to time interval 542B and switch 508′ is in position 513, plasma gas A is switched off and metal plate 522A is RF-powered. The RF power excites low-pressure H2 flowed into the chamber from below, thereby ionizing it and forming an H2 plasma above the coating/substrate. Then, as a result of pulsed DC source with waveform 540F applied to platen 143D via connection 532, H atoms are incorporated into the SiO2 coating on the mask.
Intuitively, this occurs because during the positive pulse/portion P of waveform 540F shown in
Now, during the negative pulse N of waveform 540F, H+ ions from the H2 plasma are attracted and implanted into the coating on the mask. Since H+ ions or protons are much heavier than electrons, the height of pulse N indicative of the amount of negative voltage/potential applied to platen 143D may be higher than the value of P. Exemplarily, the value or depth of N is substantially in the range of −10 V to −20 V i.e. the absolute value of N is substantially in the range of 10-20 V. Additionally, since electrons are much more mobile than H+ ions, the duration of pulse P is much shorter than pulse N in order to attract equal number of electrons for surface charge neutralization. As a result of this potential difference, H+ ions are attracted at a high speed or sprayed or bombarded onto the coating on the mask, thereby embedding or incorporating themselves in it.
Thusly, hydrogen or H2 is doped or incorporated in/into the instant conformal coating to render it its dielectric properties per above teachings. The amount of hydrogen doping can be accurately controlled by controlling the width of the N pulse of waveform 540F. Unlike reactive ion etching (RIE) systems, the amount of negative voltage of pulse N is not so high so as to cause etching or ablation of the coating.
As mentioned, there are many desirable properties afforded to an instant conformal coating by using hydrogen as a doping specie. These include much better electrostatic charge protection than by using any other dopants. Hydrogen doped coating provides this advantage as a result of much better bulk conductivity and charge redistribution across the coating. The benefits of H2 doped coating also include elimination of voids in the coating. The benefits also include elimination of spurious crystalline particles that would render optical defects in the coating. Such optical defects would cause negative impact to the efficacy of the lithography mask and ultimately to the manufacturing yield.
In a commercial setting, even a single defect comparable to the applied design rules is not acceptable as it will compromise all the chips and turn the yield to zero. Therefore, the H2-doped coatings of the present embodiments taught above provide effective systems and methods of eliminating such defects. Since hydrogen is the smallest atom, it can be implanted in an instant coating per the above-taught embodiments without causing any damage to silicon and oxygen bonds therein. The density of the implanted/doped hydrogen per present embodiments need not be very high in order to impart conductive/“leaky” properties to the instant coating. Preferably, the density of hydrogen doped in the instant coating based on the above teachings is substantially 10{circumflex over ( )}7 atoms/cubic centimeter (cc).
All the relevant and applicable teachings of the prior embodiments also apply to the present embodiments employing H2 as a dopant in the instant conformal coating.
In view of the above teaching, a person skilled in the art will recognize that the apparatus and methods of invention can be embodied in many different ways in addition to those described without departing from the principles of the invention. Therefore, the scope of the invention should be judged in view of the appended claims and their legal equivalents.
This application is a continuation-in-part of now allowed U.S. patent application Ser. No. 17/130,503 filed on Dec. 22, 2020, which is incorporated by reference for all purposes in its entirety. This application is also related to U.S. patent application Ser. No. 16/738,240 issued as U.S. Pat. No. 11,087,959 B2 on Aug. 10, 2021, U.S. patent application Ser. No. 15/458,642 issued as U.S. Pat. No. 9,972,501 B1 on May 15, 2018, U.S. patent application Ser. No. 15/950,330 issued as U.S. Pat. No. 10,366,898 B2 on Jul. 30, 2019, U.S. patent application Ser. No. 15/950,391 issued as U.S. Pat. No. 10,361,088 B2 on Jul. 23, 2019 and U.S. Patent Application No. 488,315 issued as U.S. Pat. No. 4,537,813 on Aug. 27, 1985. All the above-numbered patent applications and patents are incorporated by reference for all purposes in their entireties.
Number | Date | Country | |
---|---|---|---|
Parent | 17130503 | Dec 2020 | US |
Child | 18945949 | US |