Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain

Information

  • Patent Grant
  • 7691698
  • Patent Number
    7,691,698
  • Date Filed
    Tuesday, February 21, 2006
    18 years ago
  • Date Issued
    Tuesday, April 6, 2010
    14 years ago
Abstract
The invention relates to a semiconductor structure and method of manufacturing and more particularly to a CMOS device with at least one embedded SiGe layer in the source/drain region of the PFET, and at least one embedded SiGe layer in the channel region of the NFET. In one embodiment, the structure of the invention enhances the electron mobility in the NFET device, and further enhances the hole mobility in the PFET device. Additionally, by using the fabrication methods and hence achieving the final structure of the invention, it is also possible to construct a PFET and NFET each with embedded SiGe layers on the same substrate.
Description
FIELD OF THE INVENTION

The invention relates generally to a semiconductor device and method of manufacturing, and more particularly to a semiconductor device and method of manufacturing an NFET and PFET device with stress components.


BACKGROUND DESCRIPTION

Mechanical stresses within a semiconductor device substrate can modulate device performance. That is, stresses within a semiconductor device are known to enhance semiconductor device characteristics. Thus, to improve the characteristics of a semiconductor device, tensile and/or compressive stresses are created in the channel of the NFETs and/or PFETs. But, it is well known that stress components affect the behaviors NFET and PFET devices differently.


In order to maximize the performance of both NFETs and PFETs within integrated circuit (IC) chips, the stress components should be engineered and applied differently for NFETs and PFETs. The stress components should be engineered and applied differently because the type of stress which is beneficial for the performance of an NFET is generally disadvantageous for the performance of the PFET. More particularly, when a device is in tension, the performance characteristics of the NFET is enhanced while the performance characteristics of the PFET is diminished.


To accommodate the different stress requirements, it is known to use different material combinations to apply tensile stress to NFETs and compressive stress to PFETs. In known processes for implementing stresses in FETs, distinct processes and/or materials are used to create the tensile or compressive stresses in the NFETs and PFETs, respectively. It is known, for example, to use a trench isolation structure for the NFET and PFET devices. According to this method, the isolation region for the NFET device contains a first isolation material which applies a first type of mechanical stress on the NFET device in a longitudinal direction and in a transverse direction. Further, a first isolation region and a second isolation region are provided for the PFET and each of the isolation regions of the PFET device applies a unique mechanical stress on the PFET device in the transverse direction.


Additional methods to provide strain for both NFET and PFET include the use of patterned, tensile or compressively strained silicon nitride layers for spacers or gate sidewalls, or for contact studs etch stop liners.


While these methods do provide structures that have tensile stresses being applied to the NFET device and compressive stresses being applied along the longitudinal direction of the PFET device, they require additional materials and/or more complex processing, and thus, result in higher cost. Also, the levels of induced stress with these methods tend to saturate and also become lower with technology scaling. Further, in current fabrication devices, a method and device including both NFET and PFET devices on the same substrate including an embedded SiGe layer in the gate region of the NFET, and an embedded SiGe layer in the PFET source/drain region are preferred during separate processes. These more recent methods provide strained channel NFET and PFET where the stresses increase with further gate length scaling and are not/little impacted by overall design ground rules scaling.


SUMMARY OF THE INVENTION

In a first aspect, the invention provides a method of fabricating a semiconductor device, including forming stress inducing layers under a first gate structure, protecting portions of the stress inducing layers under the first gate structure, protecting a second gate structure having a stress component associated therewith, etching unprotected areas of the stress inducing layers at sides of the first gate structure to form openings and a resulting stress component under the first gate structure, and filling the openings with material.


In a second aspect, the invention provides a semiconductor device structure including a substrate, first and second gate stacks disposed on the substrate, the first gate stack comprising at least one silicon layer, and a source/drain region adjacent to the first gate stack comprising a compressive inducing material to place the first gate stack in a compressive state, the second gate stack comprising a first silicon layer disposed on the substrate, and a tensile producing material disposed on the first silicon layer, and a second silicon layer disposed on the tensile producing material to induce a tensile stress component under the second gate stack.


A third aspect of the invention is a semiconductor device structure including a NFET device and a PFET device disposed on a substrate, the PFET device comprising a first gate stack, the NFET device comprising a second gate stack, wherein the first gate stack comprises at least one silicon layer and an adjacent source/drain region which comprises at least one silicon germanium layer to induce a compressive stress, and wherein the second gate stack comprises at least one silicon layer formed on a silicon germanium layer to induce a tensile stress.





BRIEF DESCRIPTION OF DRAWINGS


FIGS. 1 through 12 are representative of sectional schematic views of processing step(s) and structures in accordance with the invention (not to scale).





DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION

The invention relates to a semiconductor structure and method of manufacturing and more particularly to a CMOS device with at least one embedded SiGe layer in the source/drain region of the PFET, and at least one embedded SiGe layer in the channel region of the NFET. In one embodiment, the structure of the invention enhances the electron mobility in the NFET device, and further enhances the hole mobility in the PFET device. Additionally, by using the fabrication methods and hence achieving the final structure of the invention, it is possible to construct a compressively strained channel PFET and a tensile strained channel NFET on the same substrate, in same processing steps.


Referring to FIG. 1, an exemplary initial structure is shown. Specifically, the exemplary initial structure is comprised of an NFET region 10 and a PFET region 20 formed on a substrate 30. The substrate 30 can be any conventional substrate, for example, a buried oxide (BOX), or a conventional bulk type Si wafer or substrate.


Next, isolation areas 40 are formed to isolate the NFET region 10 and the PFET region 20. Any conventional isolation scheme can be used to isolate the NFET region 10 and the PFET region 20 on the substrate 30. The isolation methods include, for example, shallow trench isolation (STI), or any variation of the local isolation of silicon (LOCOS) method, as is well known and understood in the art. Thus, although the exemplary structure of FIGS. 1-12 uses shallow trench isolations (STIs) 40, any isolation structure is contemplated by the present invention.


In one illustrative technique to form the STIs 40, photoresist is formed on the substrate, patterned, and a trench is etched in a conventional manner. The trench may be filled with an oxide, for example, by chemical vapor deposition (CVD) techniques. The surface can then be planarized, such as by chemical mechanical polishing.


In an embodiment involving SOI substrates, the thickness of the layers 50 and 60 at the starting wafer level is approximately 500 Å, although other thicknesses are contemplated by the invention depending on the parameters and design characteristics of the final device. Regardless of the starting substrate (SOI or bulk silicon), NFET region 10 is recessed first by a silicon etch, while the PFET region 20 is protected from this etch, by a hard mask layer such as silicon nitride. The recess depth is approximately 300 Å, although other depths are contemplated by the invention depending on the parameters and design characteristics of the final device.


Following the recess of the NFET region 10, at least one pseudomorphic SiGe layer 70 is formed on the silicon or SOI layer 50 in the NFET region 10, followed by another silicon layer 75. In embodiments, the at least one pseudomorphic SiGe layer 70 is approximately 200 Å thick and the silicon layer 75 is approximately 100 Å thick; although other thicknesses are contemplated by the invention depending on the parameters and design characteristics of the final device.


The at least one pseudomorphic SiGe layer 70 is selectively formed in a conventional manner via epitaxial deposition, for example, using ultrahigh-vacuum chemical vapor deposition (UHVCVD), low pressure chemical vapor deposition (LPCVD), rapid thermal chemical vapor deposition (RTCVD), and low energy plasma enhanced chemical vapor deposition (LEPECVD). In embodiments, the amount of Ge in the SiGe layer 70 is approximately 20%; although other percentages are also contemplated by the invention, with the realization that higher concentrations will provide higher stress levels for the NFET device.


The exemplary embodiment of FIG. 1 further shows a silicon layer 75 formed on the at least one pseudomorphic SiGe layer 70. The deposition of the silicon layer 75 may be deposited in a conventional manner via any selective epitaxial silicon formation technique. The deposition of the silicon layer 75 can be performed in the same process step as the deposition of the pseudomorphic SiGe layer 70.


It should be understood that since Si has a smaller lattice constant (i.e., atom spacing) than Ge, when the Si layer 75 is grown on the at least one SiGe layer 70, the Si layer 75 will become strained in tension with further processing steps. As will be described further, the SiGe layer 70 will become strain relaxed and thus inducing tensile strain into the Si layer 75. A suitable thickness for the strained Si layer 75 is below the critical thickness, which is the maximum thickness that strained Si can grow on the at least one relaxed SiGe layer 70 without forming defects in the crystal structure (e.g., dislocations). By way of example but not limitation, the strained Si layer 75 is between approximately 100 Å and 200 Å thick, and more preferably approximately 100 Å. The use of the Si layer 75 and SiGe layer 70, e.g., stress inducing layers, may be used to place the NFET under a tensile stress as discussed in greater detail below.



FIG. 2 shows a polysilicon gate patterning process in accordance with the invention. In this process, a polysilicon gate 80 is patterned in both the NFET region 10 and the PFET region 20 by conventional patterning processes, which includes deposition of a silicon nitride layer acting as a hard mask and lithography and etching steps. By way of example, the lithography step entails applying a photoresist, exposing the photoresist to a pattern of radiation, and developing the pattern utilizing a conventional resist developer. Following the lithography step, a conventional etching process such as reactive-ion etching, plasma etching, ion beam etching or laser ablation may be employed in transferring the pattern to active areas of the NFET region 10 and PFET region 30 to form the polysilicon gates 80 in the NFET region 10 and PFET region 20. A SiN cap 90 will remain on the gate region. A reoxidation process is used to grow a thin oxide 104 on the gate sidewall as well as on the remaining exposed source/drain regions.



FIG. 3 represents the step of forming a sacrificial spacer 105 along the PFET gate sidewalls. In this processing, a sacrificial block material is deposited on the structure. In one embodiment, the sacrificial block material is a nitride material (e.g., Si3N4) and forms nitride layer 100, and is formed in a conventional manner, such as by chemical vapor deposition (CVD) using a silane source. Other techniques which may be suitable for forming a nitride layer include LPCVD and atmospheric pressure CVD (APCVD).


A photoresist mask 110 is then formed over the NFET region 10. Portions of the nitride layer 100 are subsequently etched in a conventional manner in the PFET region 20 to form sacrificial spacers 105. In embodiments, the spacers 105 are approximately 200 Å thick. Etching of the nitride layer 100 in the PFET region 20 can be performed for example, using anisotropic reactive ion etching (RIE).


As shown in exemplary FIG. 4, after formation of the sacrificial spacer 105 along the PFET gate sidewalls, the photoresist mask 110 (shown in FIG. 3) is removed from the NFET region 10, using conventional stripping methods known in the art. After the photoresist mask 110 is removed, the silicon or SOI layer 60 is partially etched using a conventional anisotropic silicon etching process. In embodiments, the silicon or SOI layer 60 is etched to form a trench of approximately 300 Å, although the etching depth can vary depending on the stress tailoring needs.


Referring to FIG. 5, an SiGe layer 120 is formed in the openings of the etched silicon or SOI layer 60 in the PFET region 20. In embodiments, the SiGe layer 120 is between approximately 300 Å and 500 Å thick, although other thicknesses can also be used depending on the depth of the etched area and of the intended overfill of the trench. The SiGe layer 120 can be selectively formed epitaxially in any conventional manner, for example, using UHVCVD, MBE, LPCVD, RTCVD, and LEPECVD, as noted above. The SiGe layer 120 will place the PFET device in a compressive state thus enhancing hole mobility.


Referring to FIG. 6, although not required, the exemplary embodiment may include a silicon layer 125 formed on the SiGe layer 120. The silicon layer 125 may be formed in any conventional manner to allow better silicide formation.


Referring still to FIG. 6, a liner 130 made of an oxide or nitride based material, for example SiO2, is formed on the NFET region 10 and PFET region 20. In one embodiment, the liner is in the range of between approximately 20 Å and 50 Å thick.


A blocking mask material 140 is then formed on the PFET region 20 in order to perform a conventional etching process on the liner 130 in the NFET region 10. The purpose of the liner material is to protect the PFET areas during the selective epitaxial growth step that follows. The thickness of the liner 130 is not critical to the understanding of the invention and accordingly any thickness that provides a suitable protection of the PFET region 20 from the epitaxial growth on the NFET is contemplated.


Referring now to FIG. 7, the liner 130 and portions of the nitride layer 100 are removed from the NFET region 10 by anisotropic reactive ion etching (RIE) until the SiN cap 90 is reached, thereby forming sacrificial spacers 106 along the gate sidewalls in the NFET region 10.


Referring to FIG. 8, the blocking mask material 140 in the PFET region 20 is removed using conventional processes. In further processing steps, the pseudomorphic SiGe layer 70 and Si layer 75 which are not protected by the sacrificial spacers 106, are removed by anisotropic reactive ion etching (RIE). This leaves portions of the silicon or SOI layer 50 exposed. The SiGe layer 70 that was initially pseudomorphic to the Si substrate can now relax elastically by expanding laterally. As such, the Si layer 75 under the gate becomes strained in a tensile stress. The tensile stress of the Si layer 75 thus increases the electron mobility under the gate of the NFET region 10. Thus, the increased electron mobility under the gate of the NFET allows increased NFET performance at the same time with increased PFET performance.


Referring now to FIG. 9, a silicon raised source/drain 150 is selectively formed epitaxially onto exposed portions of the silicon or SOI layer 50 of the NFET region 10. As noted above, epitaxial formation of the silicon raised source/drain 150 can be performed by any conventional process, for example by UHVCVD, LPCVD, RTCVD, and LEPECVD. In one embodiment, the thickness of the silicon raised source/drain is between approximately 300 Å and 500 Å, depending on the specific device characteristics.


Referring to FIG. 10, liner 130, sacrificial spacers 105 and 106, and SiN caps 90 (all shown in FIG. 9) are removed by conventional dry or wet etching chemistry. For example, wet etch methods include use of a dilute hydrofluoric acid mixture optionally with glycerol, or hot phosphoric acid. Alternatively, dry etch methods include C4F8 chemistry, or plasma etch including CHF3 and CF4, depending on the material and desired etching depth, as is well known in the art.



FIGS. 11 and 12 show conventional processing steps performed to complete the device structure in accordance with an implementation of the invention. NFET and PFET extensions and halo implantation are performed, followed by with source/drain spacer formation 165 and 166, NFET and PFET source/drain implantations and final activation anneal. More specifically, the source/drain spacers 165 and 166 may be formed by, for example, deposition of a nitride material (e.g., Si3N4) deposited in a conventional manner, such as by chemical vapor deposition (CVD) using a silane source. Interconnect build-up using conventional wiring materials such as Cu can also be performed.


Extension implant of the NFET and PFET can be performed for example, by implantation of a dopant such as arsenic or boron (BF2), respectively. In one embodiment, the implantation energy is about 1 KeV to 5 KeV, and dosage of the implantation may range from about 5e14 to 3e15 ions per cm2. Halo implantation of the NFET and PFET can be performed for example, by implantation of a dopant such as arsenic or boron (BF2), respectively. In one embodiment, the implantation energy is about 5 KeV to 50 KeV, and dosage of the implantation may range from about 1e13 to 3e14 ions per cm3.


Referring now to FIG. 12, a salicide process forms salicide areas 170. As is well known in the art, a self-aligned silicide process is performed wherein silicide contacts are formed only in those areas in which deposited metal (which after annealing becomes a metal component of the silicide) is in direct contact with silicon, whereby ohmic contacts to the source, drain, and gate are formed to produce salicide areas 170 in the NFET region 10 and PFET region 20. Following the salicide process, an interconnect build-up using conventional wiring materials such as Cu (not shown) can be performed in preparation for subsequent processing steps.


While the invention has been described in terms of embodiments, those skilled in the art will recognize that the invention can be practiced with modifications and in the spirit and scope of the appended claims.

Claims
  • 1. A method of fabricating a semiconductor device, comprising: forming stress inducing layers under a first gate structure, wherein the stress inducing layers comprise: (i) a SiGe layer formed on a first Si layer formed on a substrate and (ii) a second Si layer formed on the SiGe layer;protecting portions of the stress inducing layers under the first gate structure;protecting a second gate structure having a stress component associated therewith;etching unprotected areas of the stress inducing layers at sides of the first gate structure to form openings and a resulting stress under the first gate structure, wherein the etching exposes an upper surface of the first Si layer formed on the substrate;forming a second SiGe layer on etched portions of a silicon layer of the second gate structure;forming a third Si layer on the second SiGe layer;filling the openings with a material by forming a fourth Si layer on the exposed upper surface of the first Si layer; andforming a shallow trench isolation (STI) on the substrate,wherein the stress inducing layers are formed adjacent to the STI, anda bottom surface of the STI and a bottom surface of the first Si layer are formed on an upper surface of the substrate.
  • 2. The method as claimed in claim 1, wherein the stress inducing layers comprise the SiGe layer and the second Si layer.
  • 3. The method as claimed in claim 2, wherein the SiGe layer comprises approximately 20% Ge.
  • 4. The method as claimed in claim 3, wherein the SiGe layer is approximately 200 Å thick.
  • 5. The method as claimed in claim 3, wherein the second Si layer is approximately 100 Å thick.
  • 6. The method as claimed in claim 1, wherein the first gate structure is used to form an NFET device and the second gate structure is used to form a PFET device.
  • 7. The method as claimed in claim 1, further comprising forming a nitride protecting layer on the first gate structure to protect the first gate structure and portions of the stress inducing layers, and forming a liner to protect the second gate structure during the etching step and during selective epitaxial growth.
  • 8. The method as claimed in claim 1, wherein the step of etching unprotected areas of the stress inducing layers comprises etching the SiGe layer and the second Si layer to an underlying SOI layer by reactive ion etching.
  • 9. The method as claimed in claim 1, wherein the forming of the stress inducing layers includes forming the SiGe layer and the second Si layer, and further comprising etching the SiGe layer and the second Si layer to form a tensile stress under the first gate structure.
  • 10. The method as claimed in claim 1, wherein the stress inducing layers and the stress component are formed on a same substrate.
  • 11. The method as claimed in claim 1, wherein the stress inducing layers under the first gate structure are under a tensile stress, and the first gate is used for a NFET device.
  • 12. The method as claimed in claim 1, further comprising forming sidewall spacers on the second Si layer, wherein the etching includes removing material in an area defined by the sidewall spacers and the STI.
  • 13. A method of fabricating a semiconductor device, comprising: forming stress inducing layers under a first gate structure, wherein the stress inducing layers comprise: (i) a SiGe layer formed on a first Si layer formed on a substrate and (ii) a second Si layer formed on the SiGe layer;etching portions of a silicon layer associated with a second gate structure;forming a second SiGe layer on the etched portions of the silicon layer associated with the second gate structure, wherein the second SiGe layer induces a first stress in the silicon layer associated with the second gate structure;protecting the second gate structure;protecting, with sacrificial spacers, portions of the stress inducing layers;etching unprotected areas of the stress inducing layers at sides of the first gate structure to form openings, and which induces a second stress under the first gate structure, and exposes an upper surface of the first Si layer formed on the substrate;forming a third Si layer on the second SiGe layer;filling the openings with a material by forming a fourth Si layer on the exposed upper surface of the first Si layer; andforming a shallow trench isolation (STI) on the substrate,wherein the stress inducing layers are formed adjacent to the STI, anda bottom surface of the STI and a bottom surface of the first Si layer are formed on an upper surface of the substrate.
  • 14. The method as claimed in claim 13, wherein: the SiGe layer comprises approximately 20% Ge,the SiGe layer is approximately 200 Å thick, andthe second Si layer is approximately 100 Å thick.
  • 15. The method as claimed in claim 13, wherein the first gate structure is used to form an NFET device and the second gate structure is used to form a PFET device.
  • 16. The method as claimed in claim 13, further comprising forming a nitride protecting layer on the first gate structure to protect the first gate structure and portions of the stress inducing layers, and forming a liner to protect the second gate structure during the etching the portions of the silicon layer associated with the second gate structure step and during selective epitaxial growth.
  • 17. The method as claimed in claim 13, wherein the step of etching unprotected areas of the stress inducing layers comprises etching the SiGe layer and the second Si layer to an underlying SOL layer by reactive ion etching.
  • 18. The method as claimed in claim 13, wherein the forming of the stress inducing layers includes forming the SiGe layer and the second Si layer, and further comprising etching the SiGe layer and the second Si layer to form a tensile stress under the first gate structure.
  • 19. The method as claimed in claim 13, wherein the stress inducing layers and the stress component are formed on a same substrate.
  • 20. The method as claimed in claim 13, wherein the stress inducing layers under the first gate structure are under a tensile stress, and the first gate is used for a NFET device.
US Referenced Citations (115)
Number Name Date Kind
3602841 McGroddy Aug 1971 A
4665415 Esaki et al. May 1987 A
4853076 Tsaur et al. Aug 1989 A
4855245 Neppl et al. Aug 1989 A
4952524 Lee et al. Aug 1990 A
4958213 Eklund et al. Sep 1990 A
5006913 Sugahara et al. Apr 1991 A
5060030 Hoke Oct 1991 A
5081513 Jackson et al. Jan 1992 A
5108843 Ohtaka et al. Apr 1992 A
5134085 Gilgen et al. Jul 1992 A
5310446 Konishi et al. May 1994 A
5354695 Leedy Oct 1994 A
5371399 Burroughes et al. Dec 1994 A
5391510 Hsu et al. Feb 1995 A
5459346 Asakawa et al. Oct 1995 A
5471948 Burroughes et al. Dec 1995 A
5557122 Shrivastava et al. Sep 1996 A
5561302 Candelaria Oct 1996 A
5565697 Asakawa et al. Oct 1996 A
5571741 Leedy Nov 1996 A
5592007 Leedy Jan 1997 A
5592018 Leedy Jan 1997 A
5670798 Schetzina Sep 1997 A
5679965 Schetzina Oct 1997 A
5683934 Candelaria Nov 1997 A
5840593 Leedy Nov 1998 A
5861651 Brasen et al. Jan 1999 A
5880040 Sun et al. Mar 1999 A
5940736 Brady et al. Aug 1999 A
5946559 Leedy Aug 1999 A
5960297 Saki Sep 1999 A
5989978 Peidous Nov 1999 A
6008126 Leedy Dec 1999 A
6025280 Brady et al. Feb 2000 A
6046464 Schetzina Apr 2000 A
6066545 Doshi et al. May 2000 A
6090684 Ishitsuka et al. Jul 2000 A
6107143 Park et al. Aug 2000 A
6117722 Wuu et al. Sep 2000 A
6133071 Nagai Oct 2000 A
6165383 Chou Dec 2000 A
6221735 Manley et al. Apr 2001 B1
6228694 Doyle et al. May 2001 B1
6246095 Brady et al. Jun 2001 B1
6255169 Li et al. Jul 2001 B1
6261964 Wu et al. Jul 2001 B1
6265317 Chiu et al. Jul 2001 B1
6274444 Wang Aug 2001 B1
6281532 Doyle et al. Aug 2001 B1
6284623 Zhang et al. Sep 2001 B1
6284626 Kim Sep 2001 B1
6319794 Akatsu et al. Nov 2001 B1
6361885 Chou Mar 2002 B1
6362082 Doyle et al. Mar 2002 B1
6368931 Kuhn et al. Apr 2002 B1
6399970 Kubo et al. Jun 2002 B2
6403486 Lou Jun 2002 B1
6403975 Brunner et al. Jun 2002 B1
6406973 Lee Jun 2002 B1
6461936 von Ehrenwall Oct 2002 B1
6476462 Shimizu et al. Nov 2002 B2
6483171 Forbes et al. Nov 2002 B1
6493497 Ramdani et al. Dec 2002 B1
6495402 Yu et al. Dec 2002 B1
6498358 Lach et al. Dec 2002 B1
6501121 Yu et al. Dec 2002 B1
6506652 Jan et al. Jan 2003 B2
6509618 Jan et al. Jan 2003 B2
6521964 Jan et al. Feb 2003 B1
6531369 Ozkan et al. Mar 2003 B1
6531740 Bosco et al. Mar 2003 B2
6621392 Volant et al. Sep 2003 B1
6635506 Volant et al. Oct 2003 B2
6657223 Wang et al. Dec 2003 B1
6717216 Doris et al. Apr 2004 B1
6825529 Chidambarrao et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6838695 Doris et al. Jan 2005 B2
6974981 Chidambarrao et al. Dec 2005 B2
6977194 Belyansky et al. Dec 2005 B2
7015082 Doris et al. Mar 2006 B2
7238555 Orlowski et al. Jul 2007 B2
7238580 Orlowski et al. Jul 2007 B2
20010009784 Ma et al. Jul 2001 A1
20020011628 Takagi Jan 2002 A1
20020063292 Armstrong et al. May 2002 A1
20020074598 Doyle et al. Jun 2002 A1
20020086472 Roberds et al. Jul 2002 A1
20020086497 Kwok Jul 2002 A1
20020090791 Doyle et al. Jul 2002 A1
20030032261 Yeh et al. Feb 2003 A1
20030040158 Saitoh Feb 2003 A1
20030057184 Yu et al. Mar 2003 A1
20030067035 Tews et al. Apr 2003 A1
20040238914 Deshpande et al. Dec 2004 A1
20040262784 Doris et al. Dec 2004 A1
20050040460 Chidambarrao et al. Feb 2005 A1
20050082634 Doris et al. Apr 2005 A1
20050093030 Doris et al. May 2005 A1
20050098829 Doris et al. May 2005 A1
20050106799 Doris et al. May 2005 A1
20050145954 Zhu et al. Jul 2005 A1
20050148146 Doris et al. Jul 2005 A1
20050156154 Zhu et al. Jul 2005 A1
20050194699 Belyansky et al. Sep 2005 A1
20050236668 Zhu et al. Oct 2005 A1
20050245017 Belyansky et al. Nov 2005 A1
20050280051 Chidambarrao et al. Dec 2005 A1
20050282325 Belyansky et al. Dec 2005 A1
20060027868 Doris et al. Feb 2006 A1
20060057787 Doris et al. Mar 2006 A1
20060060917 Hayashi et al. Mar 2006 A1
20060060925 Doris et al. Mar 2006 A1
20070138570 Chong et al. Jun 2007 A1
Foreign Referenced Citations (1)
Number Date Country
64-76755 Mar 1989 JP
Related Publications (1)
Number Date Country
20070196987 A1 Aug 2007 US