SELECTIVE THERMAL DEPOSITION METHOD

Abstract
The present disclosure relates to methods and apparatuses for selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process, the method comprising providing a substrate in a reaction chamber; providing a metal or metalloid catalyst to the reaction chamber in a vapor phase; providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase; and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising material on the first surface. The disclosure further relates to vapor deposition assemblies.
Description
FIELD

The present disclosure relates to methods and apparatuses for the manufacture of semiconductor devices. More particularly, the disclosure relates to methods and apparatuses for selectively depositing silicon and oxygen-comprising-comprising material on a substrate, and layers comprising silicon and oxygen-comprising-comprising material.


BACKGROUND

Semiconductor device fabrication processes generally use advanced deposition methods. Patterning is conventionally used in depositing different materials on semiconductor substrates. Selective deposition, which is receiving increasing interest among semiconductor manufacturers, could enable a decrease in steps needed for conventional patterning, reducing the cost of processing. Selective deposition could also allow enhanced scaling in narrow structures. Various alternatives for bringing about selective deposition have been proposed, and additional improvements are needed to expand the use of selective deposition in industrial-scale device manufacturing.


Silicon oxide, sometimes containing additional elements and/or silicates is used in many different applications, and it is one of the most widely used materials in semiconductor industry. Therefore, improvements in the selective deposition of silicon oxide are highly sought after and may have a large impact in making semiconductor device manufacturing faster and more cost-effective. Thermal silicon oxide -based material deposition processes are difficult to develop for selective deposition, since, generally, low precursor reactivity is needed for selectivity, but the formation of silicon oxide requires high-reactivity oxygen precursors, such as ozone.


Any discussion, including discussion of problems and solutions, set forth in this section has been included in this disclosure solely for the purpose of providing a context for the present disclosure. Such discussion should not be taken as an admission that any or all of the information was known at the time the invention was made or otherwise constitutes prior art.


SUMMARY

This summary may introduce a selection of concepts in a simplified form, which may be described in further detail below. This summary is not intended to necessarily identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter. Various embodiments of the present disclosure relate to methods of selectively depositing silicon and oxygen-comprising-comprising material on a substrate, to a silicon and oxygen-comprising-comprising material layer, to a semiconductor structure and a device, and to deposition assemblies for depositing silicon and oxygen-comprising-comprising material on a substrate.


In an aspect, a method of selectively depositing silicon and oxygen-comprising-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process is disclosed. The method comprises providing a substrate in a reaction chamber, providing a metal or metalloid catalyst to the reaction chamber in a vapor phase, providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase, and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising-comprising material on the first surface.


In some embodiments, the process comprises providing a passivation agent into the reaction chamber in a vapor phase to selectively passivate the second surface before providing a metal or metalloid catalyst into the reaction chamber. In some embodiments, the second surface comprises a passivation layer. In some embodiments, the passivation agent comprises an organic polymer or a self-assembled monolayer (SAM).


In some embodiments, the first surface is a dielectric surface. In some embodiments, the dielectric surface comprises silicon.


In some embodiments, the metal or metalloid catalyst is a metal halide, organometallic compound or metalorganic compound. In some embodiments, the metal or metalloid catalyst is a metal catalyst. In some embodiments, the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA). In some embodiments, the metal or metalloid catalyst is a compound comprising B, Zn, Mg, Mn, La, Hf, Y, Al, Zr, Ti, Sn, Y or Ga. In some embodiments, the metal or metalloid catalyst is a metalloid catalyst. In some embodiments, the catalyst comprises an alkylborane. In some embodiments, the catalyst comprises a trialkylborane. In some embodiments, the catalyst comprises a trimethylborane or a triethylborane.


In some embodiments, the substrate is heated before providing the metal or metalloid catalyst into the reaction chamber.


In some embodiments, the alkoxy silane is selected from a group consisting of tetraacetoxysilane, tetramethoxysilane, tetraethoxysilane, trimethoxysilane, triethoxysilane and trimethoxy(3-methoxypropyl)silane.


In some embodiments, the oxygen precursor is water. In some embodiments, the oxygen precursor is a carboxyl group-comprising-comprising compound.


In some embodiments, the selectivity of deposition of silicon and oxygen-comprising-comprising material on the first surface relative to the second surface is greater than about 50%.


In some embodiments, at least two different pressures are used during a deposition cycle. In some embodiments, a first pressure is used during providing the metal or metalloid catalyst into the reaction chamber, and a second pressure is used when providing the silicon precursor into the reaction chamber. In some embodiments, the first pressure is lower than the second pressure. In some embodiments, the first pressure is lower than about 5 Torr. In some embodiments, the second pressure is higher than or equal to about 5 Torr.


In some embodiments, the at least one oxygen precursor is provided into the reaction chamber at least partially simultaneously with the silicon precursor. In some embodiments, the at least one oxygen precursor is provided into the reaction chamber at least partially after providing the silicon precursor into the reaction chamber.


In some embodiments, the silicon precursor is provided in two or more consecutive pulses during a deposition cycle.


In one aspect, a method of selectively depositing silicon and oxygen-comprising-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process is disclosed. In this aspect, the method comprises providing a substrate in a reaction chamber, providing a metal or metalloid catalyst to the reaction chamber in a vapor phase and performing a silicon and oxygen-comprising-comprising material subcycle. The silicon and oxygen-comprising-comprising material subcycle comprises alternately and sequentially providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising-comprising material on the first surface.


In some embodiments, the silicon and oxygen-comprising-comprising material subcycle is repeated two or more times. In some embodiments, providing a metal or metalloid catalyst into the reaction chamber and the silicon and oxygen-comprising-comprising material subcycle are repeated two or more times.


In some embodiments, the method further comprises an activation treatment before the silicon-comprising-comprising material deposition, wherein the activation treatment comprises providing a metal or metalloid catalyst to the reaction chamber in a vapor phase; and providing an oxygen precursor into the reaction chamber in a vapor phase. In some embodiments, the metal or metalloid catalyst and the oxygen precursor are provided into the reaction chamber cyclically in the activation treatment.


In a further aspect, a method of selectively depositing silicon and oxygen-comprising-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process is disclosed. In this aspect, the method comprises providing a substrate in a reaction chamber and performing a metal oxide subcycle, the metal oxide subcycle comprising providing alternately and sequentially a metal or metalloid catalyst and an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in a vapor phase. This aspect of the method further comprises performing a silicon and oxygen-comprising-comprising material subcycle, the silicon and oxygen-comprising-comprising material subcycle comprising alternately and sequentially providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising-comprising material on the first surface. In some embodiments, at least one of the metal oxide subcycle and the silicon and oxygen-comprising material subcycle are performed more than once before performing the other subcycle.


In yet another aspect, a method of depositing silicon and oxygen-comprising material on a substrate by a cyclic deposition process is disclosed. In this aspect, the method comprises providing a substrate in a reaction chamber, providing a metal or metalloid catalyst to the reaction chamber in a vapor phase, providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase, and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising material on the substrate.


In a still further aspect, a deposition assembly for depositing silicon and oxygen-comprising material on a substrate is disclosed. The deposition assembly comprises one or more reaction chambers constructed and arranged to hold the substrate, a precursor injector system constructed and arranged to provide a metal or metalloid catalyst, a silicon precursor and an oxygen precursor into the reaction chamber in a vapor phase. The deposition assembly comprises a first reactant vessel constructed and arranged to contain the metal or metalloid catalyst, a second reactant vessel constructed and arranged to contain the silicon precursor, and a third reactant vessel constructed and arranged to contain the oxygen precursor. The assembly is constructed and arranged to provide the metal or metalloid catalyst, the silicon precursor and the oxygen precursor via the precursor injector system to the reaction chamber to deposit silicon and oxygen-comprising material on the substrate.


In this disclosure, any two numbers of a variable can constitute a workable range of the variable, and any ranges indicated may include or exclude the endpoints. Additionally, any values of variables indicated (regardless of whether they are indicated with “about” or not) may refer to precise values or approximate values and include equivalents, and may refer to average, median, representative, majority, or the like. Further, in this disclosure, the terms “including,” “constituted by” and “having” refer independently to “typically or broadly comprising,” “comprising,” “consisting essentially of,” or “consisting of” in some embodiments. In this disclosure, any defined meanings do not necessarily exclude ordinary and customary meanings in some embodiments.





BRIEF DESCRIPTION OF DRAWINGS

The accompanying drawings, which are included to provide a further understanding of the disclosure and constitute a part of this specification, illustrate exemplary embodiments, and together with the description help to explain the principles of the disclosure. In the drawings



FIG. 1 is a schematic presentation of selective deposition according to the current disclosure.



FIG. 2A is a block diagram of exemplary embodiments of a method according to the current disclosure.



FIG. 2B is a block diagram of exemplary embodiments of a method according to the current disclosure.



FIG. 2C is a block diagram of exemplary embodiments of a method according to the current disclosure.



FIG. 2D is a block diagram of exemplary embodiments of a method according to the current disclosure.



FIG. 3 is a schematic presentation of a deposition assembly according to the current disclosure.





DETAILED DESCRIPTION

The description of exemplary embodiments of methods, structures, devices and deposition assemblies provided below is merely exemplary and is intended for purposes of illustration only. The following description is not intended to limit the scope of the disclosure or the claims. Moreover, recitation of multiple embodiments having indicated features is not intended to exclude other embodiments having additional features or other embodiments incorporating different combinations of the stated features. For example, various embodiments are set forth as exemplary embodiments and may be recited in the dependent claims. Unless otherwise noted, the exemplary embodiments or components thereof may be combined or may be applied separate from each other. The headings provided herein, if any, are for convenience only and do not necessarily affect the scope or meaning of the claimed invention.


The silicon and oxygen-comprising material and layers formed by the methods described herein can be used in a variety of applications in the semiconductor industry. Exemplary embodiments of the disclosure can be used to manufacture electronic devices, such as memory and/or logic circuits. More specifically, the embodiments of the current disclosure may be used to manufacture silicon and oxygen-comprising layers used, for example, in a wide variety of semiconductor devices, including CMOS, DRAM, flash, and magnetic head applications. Silicon oxide -based materials is also commonly used as a gate dielectric for CMOS, as an electrical isolation layer, and gap filling layer. Ternary materials, such as hafnium or aluminum silicate, have many suitable properties for use in semiconductor applications, and may be deposited by methods according to the current disclosure.


Silicon and oxygen-comprising material, such as silicon oxide layers or metal silicate layers, can be deposited selectively on specific surfaces relative to other surfaces on a substrate by the methods described herein.


In an aspect, a method of selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process is disclosed. The method comprises providing a substrate in a reaction chamber, providing a metal or metalloid catalyst to the reaction chamber in a vapor phase, providing a silicon precursor comprising an alkoxy silane into the reaction chamber in a vapor phase, and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising material on the first surface. The term “catalyst” is used for metal or metalloid catalyst throughout the disclosure for simplicity.


Substrate

As used herein, the term “substrate” may refer to any underlying material or materials that may be used to form, or upon which, a device, a circuit, material or a material layer may be formed. A substrate can include a bulk material, such as silicon (such as single-crystal silicon), other Group IV materials, such as germanium, or other semiconductor materials, such as a Group II-VI or Group III-V semiconductor materials. A substrate can include one or more layers overlying the bulk material. The substrate can include various topologies, such as gaps, including recesses, lines, trenches or spaces between elevated portions, such as fins, and the like formed within or on at least a portion of a layer of the substrate. Substrate may include nitrides, for example TiN, oxides, insulating materials, dielectric materials, conductive materials, metals, such as such as tungsten, ruthenium, molybdenum, cobalt, aluminum or copper, or metallic materials, crystalline materials, epitaxial, heteroepitaxial, and/or single crystal materials. In some embodiments of the current disclosure, the substrate comprises silicon. The substrate may comprise other materials, as described above, in addition to silicon. The other materials may form layers. A substrate according to the current disclosure comprises two surfaces having different material properties.


First Surface and Second Surface

According to some aspects of the present disclosure, selective deposition can be used to deposit a silicon and oxygen-comprising material on a first surface relative to a second surface of the substrate. The two surfaces have different material properties.


In some embodiments, the first surface is a dielectric surface. In some embodiments, the first surface is a dielectric surface. In some embodiments, the first surface is a low-k surface. In some embodiments, the first surface comprises an oxide. In some embodiments, the first surface comprises a nitride. In some embodiments, the first surface comprises silicon. Examples of silicon-comprising dielectric materials include silicon oxide -based materials, including grown or deposited silicon dioxide, doped and/or porous oxides and native oxide on silicon. In some embodiments, the first surface comprises silicon oxide. In some embodiments, the first surface is a silicon oxide surface, such as a native oxide surface, a thermal oxide surface or a chemical oxide surface. In some embodiments, the first surface comprises carbon. In some embodiments, the first surface comprises SiN. In some embodiments, the first surface comprises SiOC. In some embodiments, the first surface is an etch-stop layer. An etch-stop layer may comprise, for example a nitride.


In some embodiments the dielectric material comprises a metal oxide. Thus, in some embodiments, a silicon and oxygen-comprising material is selectively deposited on a first metal oxide surface relative to a second surface. In some embodiments, the first surface comprises aluminum oxide. In some embodiments, the first surface is a high-k surface, such as hafnium oxide-comprising surface, a lanthanum oxide-comprising surface.


In some embodiments, a silicon and oxygen-comprising material is selectively deposited on a first surface comprising a metal oxide relative to another surface. A metal oxide surface may be, for example a tungsten oxide (WOx) surface, hafnium oxide (HfOx) surface, titanium oxide (TiOx) surface, aluminum oxide (AlOx) surface or zirconium oxide (ZrOx) surface. In some embodiments, a metal oxide surface is an oxidized surface of a metallic material. In some embodiments, a metal oxide surface is created by oxidizing at least the surface of a metallic material using oxygen compound, such as compounds comprising O3, H2O, H2O2, O2, oxygen atoms, plasma or radicals or mixtures thereof. In some embodiments, a metal oxide surface is a native oxide formed on a metallic material.


In some embodiments, a silicon and oxygen-comprising material, such as silicon oxide, metal silicate or a combination thereof, is selectively deposited on a first dielectric surface of a substrate relative to a second conductive (e.g., metal or metallic) surface of the substrate. In some embodiments, the first surface comprises hydroxyl (-OH) groups. In some embodiments, the first surface may additionally comprise hydrogen (-H) terminations, such as an HF dipped Si or HF dipped Ge surface. In such embodiments, the surface of interest will be considered to comprise both the -H terminations and the material beneath the -H terminations. In some embodiments the dielectric surface and metal or metallic surface are adjacent to each other. In some embodiments the dielectric material comprises a low k material.


In some embodiments, a silicon and oxygen-comprising material such as silicon oxide, metal silicate or a combination thereof, is selectively deposited on a first dielectric surface of a substrate relative to a second, different dielectric surface. In some such embodiments, the dielectrics have different compositions (e.g., silicon, silicon nitride, carbon, silicon oxide, silicon oxynitride, germanium oxide). In other such embodiments, the dielectrics can have the same basic composition (e.g., silicon oxide-based layers) but different material properties due to the manner of formation (e.g., thermal oxides, native oxides, deposited oxides). In some embodiments, a passivation blocking agents, such as silylation, is used to improve contrast between two dielectric surfaces before depositing a passivation layer on the first surface.


The term dielectric is used in the description herein for the sake of simplicity in distinguishing from the other surface, namely the metal or metallic surface. It will be understood by those skilled in the art that not all non-conducting surfaces are dielectric surfaces. For example, the metal or metallic surface may comprise an oxidized metal surface that is electrically non-conducting or has a very high resistivity. Selective deposition processes taught herein can deposit on dielectric surfaces with minimal deposition on such adjacent non-conductive metal or metallic surfaces.


For embodiments in which one surface of the substrate comprises a metal, the surface is referred to as a metal surface. In some embodiments, a metal surface consists essentially of, or consists of one or more metals. A metal surface may be a metal surface or a metallic surface. In some embodiments the metal or metallic surface may comprise metal, metal oxides, and/or mixtures thereof. In some embodiments the metal or metallic surface may comprise surface oxidation. In some embodiments the metal or metallic material of the metal or metallic surface is electrically conductive with or without surface oxidation. In some embodiments, metal or a metallic surface comprises one or more transition metals. In some embodiments, the metal or metallic surface comprises one or more transition metals from row 4 of the periodic table of elements. In some embodiments, the metal or metallic surface comprises one or more transition metals from groups 4 to 11 of the periodic table of elements. In some embodiments, a metal or metallic surface comprises aluminum (Al). In some embodiments, a metal or metallic surface comprises copper (Cu). In some embodiments, a metal or metallic surface comprises tungsten (W). In some embodiments, a metal or metallic surface comprises cobalt (Co). In some embodiments, a metal or metallic surface comprises nickel (Ni). In some embodiments, a metal or metallic surface comprises niobium (Nb). In some embodiments, the metal or metallic surface comprises iron (Fe). In some embodiments, the metal or metallic surface comprises molybdenum (Mo). In some embodiments, a metal or metallic surface comprises a metal selected from a group consisting of Al, Mn, Fe, Co, Ni, Cu, Zn, Nb, Mo, Ru and W. In some embodiments, the metal or metallic surface comprises a transition metal selected from a group consisting of Zn, Fe, Mn and Mo.


In some embodiments, a metallic surface comprises titanium nitride. In some embodiments, the metal or metallic surface comprises one or more noble metals, such as Ru. In some embodiments, the metal or metallic surface comprises a conductive metal oxide. In some embodiments, the metal or metallic surface comprises a conductive metal nitride. In some embodiments, the metal or metallic surface comprises a conductive metal carbide. In some embodiments, the metal or metallic surface comprises a conductive metal boride. In some embodiments, the metal or metallic surface comprises a combination conductive materials. For example, the metal or metallic surface may comprise one or more of ruthenium oxide (RuOx), niobium carbide (NbCx), niobium boride (NbBx), nickel oxide (NiOx), cobalt oxide (CoOx), niobium oxide (NbOx), tungsten carbonitride (WNCx), tantalum nitride (TaN), or titanium nitride (TiN).


In some embodiments, the second surface may comprise a passivated metal surface, for example a passivated Cu surface. That is, in some embodiments, the second surface may comprise a metal surface comprising a passivation agent, for example an organic passivation layer such as a polyimide passivation layer or a self-assembled monolayer. In some embodiments, the passivation layer remains on the second surface over at least two, such as at least about 10, about 20, about 50, about 100 or about 150 deposition cycles of the material comprising silicon and oxygen. In other words, a passivation layer, such as polyimide-comprising layer, is used that is able to withstand the deposition conditions over an extended period of time.


In some embodiments, a silicon and oxygen-comprising material is selectively deposited on a first SiO2 surface relative to a second dielectric surface. In some embodiments, a silicon and oxygen-comprising material is selectively deposited on a first Si or Ge surface relative to a second dielectric surface, for example an HF-dipped Si or HF-dipped Ge surface.


In some embodiments, a silicon and oxygen-comprising material is selectively deposited on a first dielectric surface of a substrate relative to a second metal or metallic surface of the substrate. In some embodiments, the second surface comprises a metal oxide, elemental metal, or metallic surface. In some embodiments, the second metal or metallic surface comprises a passivation layer comprising polyamic acid, polyimide, or other polymeric material.


In some embodiments, a substrate is provided comprising a first dielectric surface and a second metal or metallic surface. In some embodiments, a substrate is provided that comprises a first metal oxide surface. In some embodiments, the first surface may comprise —OH groups. In some embodiments, the first surface may be a SiO2 -based surface. In some embodiments, the first surface may comprise Si—O bonds. In some embodiments, the first surface may comprise a SiO2 -based low-k material. In some embodiments, the first surface may comprise more than about 30%, or more than about 50% of SiO2. In certain embodiments the first surface may comprise a silicon dioxide surface


In some embodiments, the first surface may comprise GeO2. In some embodiments, the first surface may comprise Ge—O bonds. In some embodiments, a silicon and oxygen-comprising material is selectively deposited on a first Si or Ge surface, for example an HF-dipped Si or HF-dipped Ge surface, relative to a second metal or metallic surface. For example, in some embodiments, the first surface may comprise a naturally or chemically grown silicon dioxide surface. In some embodiments, the first surface may comprise a thermally grown silicon dioxide surface.


In certain embodiments the first surface may comprise a silicon oxide -based surface and the second dielectric surface may comprise a second, different silicon oxide -based surface. In other embodiments, the first or the second surface may be replaced with a deposited layer of material comprising silicon and oxygen. Therefore, in some embodiments, silicon and oxygen-comprising material may be selectively deposited on a first silicon oxide -based surface of a substrate relative to a second silicon oxide -based surface that was formed by a different technique and therefore has different material properties, such as composition.


In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of the selective deposition process. In some embodiments, the substrate may be subjected to a plasma cleaning process at prior to or at the beginning of the selective deposition process. In some embodiments, a plasma cleaning process may not include ion bombardment, or may include relatively small amounts of ion bombardment. For example, in some embodiments, the substrate surface may be exposed to plasma, radicals, excited species, and/or atomic species prior to or at the beginning of the selective deposition process. In some embodiments, the substrate surface may be exposed to hydrogen plasma, radicals, or atomic species prior to or at the beginning of the selective deposition process. In some embodiments, a pretreatment or cleaning process may be carried out in the same reaction chamber as a selective deposition process. However, in some embodiments, a pretreatment or cleaning process may be carried out in a separate reaction chamber.


Surface Pretreatments

In embodiments, a dielectric first surface may be selectively blocked relative to another surface, for example by selectively silylating the dielectric surface. In some embodiments, the dielectric surface is blocked by exposure to a silylation agent, such as alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimenthylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments, the dielectric blocking step may be omitted. In some embodiments, the blocking may aid in subsequent selective passivation of a metal surface, as described below. Thus, blocking a dielectric surface may, in some embodiments, allow the selective passivation of another surface, such as a metal surface or a dielectric surface of different composition. In some embodiments, the blocked dielectric surface may be treated, such as with a plasma, to provide the desired surface terminations to facilitate catalyst chemisorption, as described in more detail below. A second surface, such as a metal surface, is passivated, for example by selectively forming an organic polymer layer on the second surface. In some embodiments, the silylation of the dielectric surface aids in the selectivity of the formation of the polymer passivation layer on a second surface. In some embodiments, blocking, such as silylation, does not require a specific removal step before depositing material comprising silicon and oxygen on the first surface.


Subsequently, a metal or metalloid catalyst is selectively deposited on the first dielectric surface relative to the second surface. In some embodiments, the catalyst is selectively chemisorbed on the dielectric surface. The catalyst may be, for example, a metal or metalloid catalyst as described below.


Silicon and oxygen-comprising material is then selectively deposited on the first surface relative to the passivated second surface by providing a silicon precursor into the reaction chamber. The catalyst may improve the interaction between the substrate and the silicon precursor leading to catalytic silicon and oxygen-comprising material growth selectively on the dielectric first surface of the substrate relative to the second surface (such as a passivated metal or metal oxide surface). The silicon and oxygen-comprising material may be deposited by a cyclical vapor deposition process in which the substrate is alternately contacted with the catalyst and the silicon precursor until a silicon and oxygen-comprising material of a desired thickness has been selectively deposited. Following silicon and oxygen-comprising material deposition, the passivation layer on the second surface may be removed, such as by etching. Etching may be performed, for example, by a plasma or a chemical treatment.


In some embodiments, a first dielectric surface, such as an oxide surface, on a substrate is blocked by silylation with a silylating agent such as alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimenthylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA), an organic polymer is selectively deposited on a second surface of the same substrate, a metal or metalloid catalyst such as an aluminum catalyst is selectively deposited on the dielectric surface of the same substrate, and silicon and oxygen-comprising material is subsequently selectively deposited on the first surface of the substrate relative to the passivated second surface. For example, a silicon and oxygen-comprising material layer may be selectively deposited on a dielectric surface, such as a metal oxide surface, a silicon oxide surface or a low k surface, relative to an adjacent metal surface by, for example, blocking the first surface by silylation with a silylating agent, using a thiol SAM or polyimide layer to passivate the metal surface, using trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA) as the catalyst, and a using a tetraethoxysilane as the silicon precursor. In some embodiments, the silylated dielectric surface is plasma-treated prior to providing the catalyst into the reaction chamber. The substrate may be contacted with a sufficient quantity of the blocking agent and for a sufficient period of time that the dielectric surface is selectively blocked with silicon species. In some embodiments, the dielectric surface is not passivated with a self-assembled monolayer (SAM).


In some embodiments, the process according to the current disclosure comprises providing a passivation agent into the reaction chamber in a vapor phase to selectively passivate the second surface before providing a catalyst into the reaction chamber. An organic polymer passivation layer may be selectively formed on the second (for example metal) surface relative to the first dielectric surface by providing a passivation agent into the reaction chamber. A passivation agent may be provided by a cyclic deposition process. For example, polyimide-comprising passivation layer may be deposited by providing an acetic anhydride and a diamine alternately and sequentially into a reaction chamber to form a passivation layer. The passivation layer may be selectively deposited on the second surface by providing a passivating agent into the reaction chamber. In some embodiments, the passivating layer on the metal or metallic surface inhibits, prevents or reduces the formation of the silicon and oxygen-comprising material on the metal or metallic surface.


A plasma treatment may be used to activate the dielectric surface. For example, the silylated dielectric surface may be exposed to a H2 plasma.


A catalyst is selectively provided on the first surface relative to the second surface, such as by providing a catalyst into the reaction chamber. Therein, the catalyst contacts the substrate. The first surface may be a dielectric surface, and the second surface may be a metal surface. In some embodiments, the substrate is contacted with a catalyst as described below. The catalyst may be, for example, a compound comprising B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y or Ga. In some embodiments, the catalyst is a metal catalyst. In some embodiments, the catalyst is a metal halide, organometallic or metalorganic compound. In some embodiments, the catalyst may be a metal oxide. In some embodiments, the catalyst is an aluminum catalyst comprising trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA). In some embodiments, the catalyst is a zirconium compound, such as bis(methylcyclopentadienyl)methoxymethyl zirconium (ZrD-04). In some embodiments, the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ). In some embodiments, the catalyst is ZrCl4. In some embodiments, the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (La(iPrCp)3). In some embodiments, the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4. In some embodiments, the catalyst is a gallium compound, such as trimethylgallium (TMG). In some embodiments, the catalyst is a hafnium compound, such as HfD-04, HfCl4 or Hf(NO3)4.


In some embodiments, the metal or metalloid catalyst is a metalloid catalyst. In some embodiments, the catalyst comprises an alkylborane. In some embodiments, the catalyst comprises a trialkylborane. In some embodiments, the catalyst comprises a trimethylborane or a triethylborane.


In some embodiments, the catalyst may preferentially chemisorb on a dielectric surface, for example on a dielectric surface comprising a blocking agent, relative to a passivated metal surface. In some embodiments, the catalyst preferentially deposits on the dielectric surface relative to the passivated metal surface. In some embodiments, the passivating agent on the metal surface inhibits or prevents deposition of catalyst on the metal surface. In some embodiments, a single exposure to the passivating agent may prevent deposition of catalyst on the metal surface for 1, 2, 5, 10, 20, 30, 40 or 50 or more cycles in which the substrate is contacted with the catalyst. In some embodiments, the second surface is not passivated and the catalyst selectively chemisorbs on the dielectric surface in the absence of a passivating agent on the metal surface. For example, the catalyst may selectively deposit on a dielectric surface comprising a blocking agent relative to a second surface. In some embodiments, a catalyst is not utilized.


After contacting the catalyst with the dielectric surface, silicon and oxygen-comprising material is selectively deposited on the dielectric surface relative to the passivated second surface. For example, the substrate may be exposed to a silicon precursor, such as an alkoxy silane. In some embodiments, the substrate is exposed to the silicon precursor alone, while in some embodiments, the substrate is exposed to the silicon precursor and an oxygen precursor, such as H2O. The silicon precursor and the oxygen precursor may react with the surface comprising the catalyst to form silicon and oxygen-comprising material. For example, the substrate may be contacted with a silicon precursor comprising an alkoxy silane such that the alkoxy silane decomposes at the catalyst atoms on the dielectric surface, resulting in the selective growth of silicon and oxygen-comprising material on the dielectric surface relative to the second surface.


Silicon and Oxygen-Comprising Material

Silicon and oxygen-comprising material according to the current disclosure may comprise, consist essentially of, or consist of silicon oxide, such as silicon dioxide. However, in some embodiments, the silicon and oxygen-comprising material comprises additional elements, such as aluminum (Al). In some embodiments, the material comprising silicon and oxygen comprises, consists substantially of, or consists of metal silicate, such as aluminum silicate. The methods according to the current disclosure allow for the deposition of materials comprising silicon, oxygen and a metal, such that the amount of the metal is adjustable. Alternating two or more different deposition processes, at least one of which is a method according to the current disclosure, nanolaminate structure of alternating composition may be deposited. In some embodiments, the two or more different deposition methods are all methods according to the current disclosure.


In some embodiments, silicon and oxygen-comprising material layer is deposited. As used herein, the term “layer” and/or “film” can refer to any continuous or non-continuous structure and material, such as material deposited by the methods disclosed herein. For example, layer and/or film can include two-dimensional materials, three-dimensional materials, nanoparticles or even partial or full molecular layers or partial or full atomic layers or clusters of atoms and/or molecules. A film or layer may comprise material or a layer with pinholes, which may be at least partially continuous. A seed layer may be a non-continuous layer serving to increase the rate of nucleation of another material. However, the seed layer may also be substantially or completely continuous.


A silicon and oxygen-comprising layer of desired thickness may be deposited by a cyclic deposition process according to the current disclosure. In some embodiments, the silicon and oxygen-comprising layer is substantially continuous. In some embodiments, the silicon and oxygen-comprising layer is continuous. In some embodiments, the silicon and oxygen-comprising layer has an approximate thickness of at least about 0.5 nm. In some embodiments, the silicon and oxygen-comprising layer has an approximate thickness of at least about 1 nm. In some embodiments, the silicon and oxygen-comprising layer has an approximate thickness of at least about 5 nm. In some embodiments, the silicon and oxygen-comprising layer has an approximate thickness of at least about 10 nm. In some embodiments, the silicon and oxygen-comprising layer has an approximate thickness of about 1 nm to about 50 nm. In some embodiments, substantially or completely continuous silicon and oxygen-comprising layers having a thickness of less than 10 nm, such as from about 4 nm to about 8 nm, for example about 5 nm or about 6 nm may be selectively deposited on the first surface of the substrate.


In some embodiments, the silicon to metal ratio of silicon and oxygen-comprising material is equal to or larger than about 3. In some embodiments, the silicon to metal ratio of silicon and oxygen-comprising material is equal to or larger than about 4. In some embodiments, the silicon to metal ratio of silicon and oxygen-comprising material is equal to or larger than about 5, such as about 6. In some embodiments, the silicon-to-metal ratio of material comprising silicon and oxygen is from about 2.5 to about 6, such as from about 3 to about 5.


In some embodiments, the k value of silicon and oxygen-comprising material deposited according to the current disclosure is below about 5, or below about 4.


In some embodiments, the wet etch resistance of material comprising silicon and oxygen according to the current disclosure is from about 0.1 to about 1 nm/s, as measured by exposure to 0.5% HF, and depending on the composition of the material comprising silicon and oxygen. In some embodiments, the wet etch resistance rate is about 0.2 nm/s as measured by exposure to 0.5%.


General Process

In the methods according to the current disclosure, a substrate is provided in a reaction chamber, a metal or metalloid catalyst (“catalyst”) is provided into the reaction chamber in a vapor phase, a silicon precursor comprising an alkoxy silane is provided into the reaction chamber in a vapor phase, and an oxygen precursor comprising oxygen and hydrogen is provided into the reaction chamber in vapor phase. The silicon precursor and the oxygen precursor form silicon and oxygen-comprising material on the first surface.


The terms “precursor” and “reactant” can refer to molecules (compounds or molecules comprising a single element) that participate in a chemical reaction that produces another compound. A precursor typically contains portions that are at least partly incorporated into the compound or element resulting from the chemical reaction in question. Such a resulting compound or element may be deposited on a substrate. A reactant may be an element or a compound that is not incorporated into the resulting compound or element to a significant extent. However, a reactant may also contribute to the resulting compound or element in certain embodiments.


In some embodiments, a precursor is provided in a mixture of two or more compounds. In a mixture, the other compounds in addition to the precursor may be inert compounds or elements. In some embodiments, a precursor is substantially or completely formed of a single compound. In some embodiments, a precursor is provided in a composition. Composition may be a solution or a gas in standard conditions.


The current disclosure relates to a selective deposition process. Selectivity can be given as a percentage calculated by [(deposition on first surface)-(deposition on second surface)]/(deposition on the first surface). Deposition can be measured in any of a variety of ways. In some embodiments, deposition may be given as the measured thickness of the deposited material. In some embodiments, deposition may be given as the measured amount of material deposited.


In some embodiments, selectivity is greater than about 30%. In some embodiments, selectivity is greater than about 50%. In some embodiments, selectivity is greater than about 75% or greater than about 85%. In some embodiments, selectivity is greater than about 90% or greater than about 93%. In some embodiments, selectivity is greater than about 95% or greater than about 98%. In some embodiments, selectivity is greater than about 99% or even greater than about 99.5%. In embodiments, the selectivity can change over the duration or thickness of a deposition.


In some embodiments, deposition only occurs on the first surface and does not occur on the second surface. In some embodiments, deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 80% selective, which may be selective enough for some particular applications. In some embodiments the deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 50% selective, which may be selective enough for some particular applications. In some embodiments the deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 10% selective, which may be selective enough for some particular applications.


Cyclic Deposition Process

In some embodiments, cyclic vapor deposition, for example, cyclic CVD or atomic layer deposition (ALD) process, is used to deposit silicon and oxygen-comprising material. After selective deposition of the silicon and oxygen-comprising material is completed, further processing can be carried out to form the desired structures.


In the current disclosure, the deposition process may comprise a cyclic deposition process, such as an atomic layer deposition (ALD) process or a cyclic chemical vapor deposition (VCD) process. The term “cyclic deposition process” can refer to the sequential introduction of precursor(s) and/or reactant(s) into a reaction chamber to deposit material, such as silicon and oxygen-comprising material, on a substrate. Cyclic deposition includes processing techniques such as atomic layer deposition (ALD), cyclic chemical vapor deposition (cyclic CVD), and hybrid cyclic deposition processes that include an ALD component and a cyclic CVD component. The process may comprise a purge step between providing precursors or between providing a precursor and a reactant in the reaction chamber.


The process may comprise one or more cyclic phases. For example, pulsing of silicon precursor and oxygen precursor may be repeated. In some embodiments, the process comprises or one or more acyclic phases. In some embodiments, the deposition process comprises the continuous flow of at least one precursor. In such an embodiment, the process comprises a continuous flow of a silicon precursor or an oxygen precursor. In some embodiments, one or more of the precursors and/or reactants are provided in the reaction chamber continuously. In some embodiments, catalyst may be provided in the reaction chamber continuously.


The term “atomic layer deposition” (ALD) can refer to a vapor deposition process in which deposition cycles, such as a plurality of consecutive deposition cycles, are conducted in a reaction chamber. The term atomic layer deposition, as used herein, is also meant to include processes designated by related terms, such as chemical vapor atomic layer deposition, when performed with alternating pulses of precursor(s)/reactant(s), and optional purge gas(es). Generally, for ALD processes, during each cycle, a precursor is introduced to a reaction chamber and is chemisorbed to a deposition surface (e.g., a substrate surface that may include a previously deposited material from a previous ALD cycle or other material), forming about a monolayer or sub-monolayer of material that does not readily react with additional precursor (i.e., a self-limiting reaction). Thereafter, in some cases, another precursor or a reactant may subsequently be introduced into the process chamber for use in converting the chemisorbed precursor to the desired material on the deposition surface. The second precursor or a reactant can be capable of further reaction with the precursor. Purging steps may be utilized during one or more cycles, e.g., during each step of each cycle, to remove any excess precursor from the process chamber and/or remove any excess reactant and/or reaction byproducts from the reaction chamber. Thus, in some embodiments, the cyclic deposition process comprises purging the reaction chamber after providing a precursor into the reaction chamber. In some embodiments, the cyclic deposition process comprises purging the reaction chamber after providing a silicon precursor into the reaction chamber. In some embodiments, the cyclic deposition process comprises purging the reaction chamber after providing an oxygen precursor into the reaction chamber. In some embodiments, the cyclic deposition process comprises purging the reaction chamber after providing a silicon precursor into the reaction chamber, and after providing an oxygen precursor into the reaction chamber and providing a catalyst into the reaction chamber.


CVD type processes typically involve gas phase reactions between two or more precursors and/or reactants. The precursor(s) and reactant(s) can be provided simultaneously to the reaction space or substrate, or in partially or completely separated pulses. The substrate and/or reaction space can be heated to promote the reaction between the gaseous precursor and/or reactants. In some embodiments the precursor(s) and reactant(s) are provided until a layer having a desired thickness is deposited. In some embodiments, cyclic CVD processes can be used with multiple cycles to deposit a thin film having a desired thickness. In cyclic CVD processes, the precursors and/or reactants may be provided to the reaction chamber in pulses that do not overlap, or that partially or completely overlap.


The reaction chamber can form part of an atomic layer deposition (ALD) assembly. The reaction chamber can form part of a chemical vapor deposition (CVD) assembly. The assembly may be a single wafer reactor. Alternatively, the reactor may be a batch reactor. The assembly may comprise one or more multi-station deposition chambers. Various phases of method can be performed within a single reaction chamber or they can be performed in multiple reaction chambers, such as reaction chambers of a cluster tool. In some embodiments, the method is performed in a single reaction chamber of a cluster tool, but other, preceding or subsequent, manufacturing steps of the structure or device are performed in additional reaction chambers of the same cluster tool. Optionally, an assembly including the reaction chamber can be provided with a heater to activate the reactions by elevating the temperature of one or more of the substrate and/or the reactants and/or precursors. The silicon and oxygen-comprising material according to the current disclosure may be deposited in a cross-flow reaction chamber. The silicon and oxygen-comprising material according to the current disclosure may be deposited in a showerhead-type reaction chamber.


In some embodiments, the catalyst, the silicon precursor and the oxygen precursor are all provided into the reaction chamber during one deposition cycle. Thus, a deposition process comprises at least one deposition cycle in which the catalyst, the silicon precursor and the oxygen precursor are provided into the reaction chamber. In some embodiments, substantially all the deposition cycles of a deposition process comprise providing the catalyst, the silicon precursor and the oxygen precursor into the reaction chamber. Such deposition schemes may be denoted “ABC” deposition schemes, wherein A denotes providing a catalyst into the reaction chamber, B denotes providing a silicon precursor into the reaction chamber and C denotes providing an oxygen precursor into the reaction chamber. The reaction chamber may be purged after providing the catalyst, the silicon precursor and/or the oxygen precursor into the reaction chamber. The ABC deposition cycle may be repeated a predetermined number of times to achieve desired thickness of silicon and oxygen-comprising material [n(A+B+C)], wherein n is the number of deposition cycles. For example, n may be from 1 to about 1,000, or from about 5 to about 1,000, or from about 10 to about 1,000, or from about 100 to about 1,000. In some embodiments, n is from about 3 to about 500, or from about 5 to about 500, or from about 10 to about 500, or from about 50 to about 500. In some embodiments, n is from about 50 to about 300, or from about 10 to about 200, or from about 200 to about 600. The number of repetitions of the deposition cycle depends on the per-cycle growth rate (gpc) of the silicon and oxygen-comprising material and of the desired thickness of the material. The catalyst may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments, the catalyst is provided in a single long pulse. In some embodiments, the catalyst is provided in multiple shorter pulses, such as from 2 to about 30 pulses. The pulses may be provided sequentially. There may be a purge between two consecutive catalyst pulses. The silicon precursor may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments, the silicon precursor is provided in a single long pulse. In some embodiments, the silicon precursor is provided in multiple shorter pulses, such as from 2 to about 30 pulses. For example, a master cycle may comprise providing a catalyst into the rection chamber in a single pulse, then providing the silicon precursor into the reaction chamber in multiple pulses, for example, in about 15 to about 25 pulses, and then providing an oxygen precursor into the reaction chamber in a single pulse. The pulses may be provided sequentially. There may be a purge between two consecutive silicon precursor pulses.


In some embodiments, a deposition process according to the current disclosure comprises at least one deposition cycle that does not contain providing the catalyst into the reaction chamber. Therefore, in one aspect, a method of selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process is disclosed, in which the method comprises providing a substrate in a reaction chamber, providing a catalyst to the reaction chamber in a vapor phase and performing a silicon and oxygen-comprising material subcycle. The silicon and oxygen-comprising material subcycle comprises alternately and sequentially providing a silicon precursor comprising an alkoxy silane into the reaction chamber in a vapor phase and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase, to form silicon and oxygen-comprising material on the first surface.


In such embodiments, the process comprises a master cycle having a catalyst subcycle and a deposition subcycle. The catalyst subcycle may comprise providing a catalyst into the reaction chamber and purging the reaction chamber. The catalyst subcycle may comprise providing a catalyst into the reaction chamber and not purging the reaction chamber. The deposition subcycle may comprise providing a silicon precursor into the reaction chamber, optionally purging the reaction chamber, providing an oxygen precursor into the reaction chamber, and, again optionally, purging the reaction chamber. Such a deposition scheme may be described as n[A+m(B+C)], wherein A denotes providing a catalyst into the reaction chamber, B denotes providing a silicon precursor into the reaction chamber and C denotes providing an oxygen precursor into the reaction chamber. If the reaction chamber is purged after providing a catalyst or a precursor into the reaction chamber, the phase A, B and/or C, respectively, comprises the purge step. In such embodiments, phase A, for example, may comprise several repetitions of providing a catalyst into the reaction chamber instead of providing a single pulse of the catalyst. The number of master cycles (n) may vary according to gpc and desired material thickness as indicated above. m may be varied to regulate silicon and oxygen-comprising material growth speed and composition. In some embodiments, metal of the catalyst may be incorporated into the silicon and oxygen-comprising material, and the metal content may be regulated by increasing the number of deposition subcycles relative to the catalyst subcycle to reduce metal incorporation, and vice versa.


In some embodiments, a master cycle deposition scheme may be described as n(m(A+C) + o(B+C). In such embodiments, at least one of the metal oxide subcycle and the silicon and oxygen-comprising material subcycle are performed more than once before performing the other subcycle. With these embodiments, nanolaminate structures comprising a metal oxide and silicon oxide alternately may be deposited. The values of m and o, each of which may vary independently, will determine the thickness of each material layer, and the ratio between m and o may determine the proportions of the two materials within the deposited material. Both of these may influence the characteristics of the deposited layer, which in turn may allow tuning the layer for different uses and applications. Also, in such embodiments, the silicon precursor may be pulsed multiple times, with an optional purge in between.


In some embodiments, two of the reactants may be co-pulsed, i.e. the two reactants are provided at least partially simultaneously into the reaction chamber. For example, in some embodiments, it may be advantageous to provide a catalyst and a silicon precursor simultaneously into the reaction chamber (denoted A/B below). In some embodiments, the pulses of catalyst and the silicon precursor overlap partially. In some embodiments, the pulses of catalyst and the silicon precursor overlap at least partially. In some embodiments, the pulses of catalyst and the silicon precursor overlap completely. In some embodiments, the deposition scheme according to the current disclosure may be denoted n(A/B+C). In some embodiments, the deposition scheme according to the current disclosure may be denoted n(m(A/B+C)+o(B+C)), , where n, m and o are independent of each other and depict the number of repetitions of the indicated cycle. Further, in some embodiments, a deposition cycle may comprise co-pulsing a silicon precursor and an oxygen precursor. For example tetraethoxysilane and water, or tetraethoxysilane and formic acid may be provided into the reaction chamber at least partially simultaneously. It may also be advantageous to co-pulse two different oxygen precursors, for example water and a carboxylic acid.


Therefore, in a further aspect, a method of selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process is disclosed. In this aspect, the method comprises providing a substrate in a reaction chamber, performing a metal oxide subcycle, said subcycle comprising providing alternately and sequentially a catalyst and an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in a vapor phase, performing a silicon and oxygen-comprising material subcycle, said subcycle comprising alternately and sequentially providing a silicon precursor comprising an alkoxy silane into the reaction chamber in a vapor phase and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase, to form silicon and oxygen-comprising material on the first surface.


In some embodiments, the method further comprises an activation treatment before the silicon-comprising material deposition, wherein the activation treatment comprises providing a catalyst to the reaction chamber in a vapor phase; and providing an oxygen precursor into the reaction chamber in a vapor phase. In some embodiments, the catalyst and the oxygen precursor are provided into the reaction chamber cyclically in the activation treatment. In some embodiments, the substrate may be exposed to the catalyst and to the oxygen precursor alternately and sequentially. In some embodiments, the activation treatment is performed directly before the deposition of the silicon and oxygen-comprising material is started. The activation treatment may be performed in the same deposition assembly in which the silicon and oxygen-comprising material is deposited. In some embodiments, the activation treatment is performed in the same multi-station deposition chamber in which the silicon and oxygen-comprising material is deposited. For example, DMAI and water may be provided cyclically, for example alternately and sequentially, into the reaction chamber in vapor phase, with 1 second pulse length for each reactant. In some embodiments, the catalyst pulse length during the activation treatment is from about 0.5 seconds to about 10 seconds, such as 1 second, 2 seconds or 6 seconds. In some embodiments, the first oxygen reactant pulse length during the activation treatment is from about 0.5 seconds to about 10 seconds, such as 1 second, 2 seconds or 6 seconds. The pressure during the activation treatment may be the same pressure used during the deposition of material comprising silicon and oxygen. In some embodiments, the activation treatment is performed at a pressure of about 2 to 10 Torr, such as at a pressure of about 6 Torr or about 8 Torr.


In some embodiments, an activation treatment may be performed by providing an oxidant, such as oxygen or hydrogen peroxide, into the reaction chamber. In some embodiments, an activation treatment may be performed by providing plasma, such as hydrogen plasma, oxygen plasma or a combination thereof into the reaction chamber. In some embodiments, an activation treatment may be a treatment by hydrogen gas, or by vapor-phase water.


Thus, in some embodiments, the deposition process comprises an activation treatment before the initiation of the actual material growth. In such embodiments, the deposition of silicon and oxygen-comprising material may be performed by any of the schemes described above. For example, the deposition scheme may be n(A+C) + m(A+B+C), where n(A+C) is the activation cycle, and the sequence A+B+C is repeated as long as the desired material thickness is achieved (i.e. m times). Alternatively, the deposition scheme performed after the activation cycle (n(A+C) may be, for example, n[A+m(B+C)] or n(m(A+C) + o(B+C). The oxygen precursor used in the activation treatment may be the same oxygen precursor used in the deposition cycles. Alternatively, the oxygen precursor used in the activation treatment may be a different oxygen precursor than the one used in the deposition cycles. In some embodiments, one oxygen precursor (such as formic acid or water) is used in the activation treatment, and two oxygen precursors (such as formic acid and water) are used in the deposition cycle. Using an activation treatment before deposition may reduce the number of cycles needed for depositing silicon and oxygen-comprising material of desired thickness. In some embodiments, the faster growth may be due to reduced delay in growth initiation. Without limiting the current disclosure to any specific theory, the deposition may be initiated in a more uniform manner throughout the first surface relative to deposition schemes without an activation treatment. This may have advantages especially in embodiments, in which thin silicon and oxygen-comprising material layers are sought after. A thin silicon and oxygen-comprising material layer may be, for example, less than 15 nm in thickness. For example, the thickness of a thin silicon and oxygen-comprising material layer may be from about 2 nm to about 10 nm, for example 3 nm, 5 nm, or 8 nm. An activation treatment may lead to earlier layer closure, therefore enabling the deposition of substantially or completely continuous layers having a lower thickness. Additionally, an activation treatment may lead to lower number of defects. Using an activation treatment may additionally allow for uniform deposition into narrow-pitch structures, such as structures comprises gaps having a width of 40 nm or less, or having a width of 30 nm or less, or having a width of 25 nm or less.


A deposition process may be any combination of above deposition schemes.


Purging

As used herein, the term “purge” may refer to a procedure in which vapor phase precursors and/or vapor phase byproducts are removed from the substrate surface for example by evacuating the reaction chamber with a vacuum pump and/or by replacing the gas inside a reaction chamber with an inert or substantially inert gas such as argon or nitrogen. Purging may be effected between two pulses of gases which react with each other. However, purging may be effected between two pulses of gases that do not react with each other. For example, a purge, or purging may be provided between pulses of two precursors or between a catalyst and a precursor. Purging may avoid, or at least reduce, gas-phase interactions between the two gases reacting with each other. It shall be understood that a purge can be effected either in time or in space, or both. For example in the case of temporal purges, a purge step can be used e.g. in the temporal sequence of providing a first precursor to a reactor chamber, providing a purge gas to the reactor chamber, and providing a second precursor to the reactor chamber, wherein the substrate on which a material is deposited does not move. For example in the case of spatial purges, a purge step can take the following form: moving a substrate from a first location to which a first precursor is continually supplied, through a purge gas curtain, to a second location to which a second precursor is continually supplied. Purging times may be, for example, from about 0.01 seconds to about 20 seconds, from about 0.05 s to about 20 s, or from about 1 s to about 20 s, or from about 0.5 s to about 10 s, or between about 1 s and about 7 seconds, such as 5 s, 6 s or 8 s. However, other purge times can be utilized if necessary, such as where highly conformal step coverage over extremely high aspect ratio structures or other structures with complex surface morphology is needed, or in specific reactor types, such as a batch reactor, may be used.


Thermal Process

In some embodiments, the cyclic deposition process according to the current disclosure comprises a thermal deposition process. In thermal deposition, the chemical reactions are promoted by increased temperature relevant to ambient temperature. Generally, temperature increase provides the energy needed for the formation of silicon and oxygen-comprising material in the absence of other external energy sources, such as plasma, radicals, or other forms of radiation. In some embodiments, the vapor deposition process according to the current disclosure is a thermal ALD process. A thermal process may be preferred in selective vapor deposition processes over plasma-enhanced processes since plasma exposure may damage the passivation layer or alter its inhibition properties. However, one or more plasmas may be utilized in other process phases, such as etching away unwanted materials.


In some embodiments, silicon and oxygen-comprising material may be deposited at a temperature from about 150° C. to about 450° C. For example, silicon and oxygen-comprising material may be deposited at a temperature from about 200° C. to about 400° C., or at a temperature from about 250° C. to about 350° C., or at a temperature from about 300° C. to about 375° C. The catalyst may be provided into the reaction chamber at the same temperature as the silicon and oxygen-comprising material is deposited. Alternatively, the temperature during providing the catalyst into the reaction chamber is different from the temperature at which the silicon and oxygen-comprising material is deposited. In some embodiments, the substrate is heated before providing the catalyst into the reaction chamber. In embodiments comprising depositing a passivation blocking layer and a passivation layer, the temperature for the deposition of said layers may be independently selected. For example, a temperature during the silylation process may be from about 50° C. to about 500° C., or from about 100° C. to about 300° C. As another example, a polyimide-comprising passivation layer may be deposited at temperatures below 190° C., and subsequently heat-treated at a temperature of about 190° C. or higher (such as 200° C. or 210° C.) to increase the proportion of the organic material from polyamic acid to polyimide, and to improve the passivation properties of the passivation layer.


Pressure

The methods according to the current disclosure may be performed in reduced pressure. In some embodiments, a pressure within the reaction chamber during the deposition process according to the current disclosure is less than 500 Torr, or a pressure within the reaction chamber during the deposition process is between 0.1 Torr and 500 Torr, or between 1 Torr and 100 Torr, or between 1 Torr and 10 Torr. In some embodiments, a pressure within the reaction chamber during the deposition process is less than about 10 Torr, less than 50 Torr, less than 100 Torr or less than 300 Torr.


A pressure in a reaction chamber may be selected independently for different process steps. In some embodiments, at least two different pressures are used during a deposition cycle.


In some embodiments, a first pressure is used during providing the catalyst into the reaction chamber, and a second pressure is used when providing the silicon precursor into the reaction chamber. In some embodiments, the second pressure is used when providing the oxygen precursor into the reaction chamber. In some embodiments, the second pressure is used when providing the silicon precursor into the reaction chamber and providing the oxygen precursor into the reaction chamber. In some embodiments, the first pressure is lower than the second pressure. For example, in some embodiments, a first pressure may be lower than about 10 Torr, lower than about 20 Torr or lower than about 50 Torr. In some embodiments, the first pressure is lower than about 5 Torr, such as about 0.5 Torr, about 1 Torr, about 2 Torr or about 3 Torr. In some embodiments, the second pressure is higher than or equal to about 5 Torr. In some embodiments, the second pressure is lower than or equal to about 20 Torr, or lower than or equal to about 10 Torr. In some embodiments, a second pressure is between about 5 Torr and about 12 Torr.


Precursors
Silicon Precursor

As used herein, “silicon precursor” includes a gas or a material that can become gaseous and that can be represented by a chemical formula that includes silicon. A silicon precursor according to the current disclosure comprises an alkoxy silane. In some embodiments, a silicon precursor is an alkoxy silane. In some embodiments, a silicon precursor does not contain hydroxyl groups. In some embodiments, an alkoxy silane according to the current disclosure comprises four identical alkoxy groups. In some embodiments, an alkoxy silane according to the current disclosure comprises a carboxylate group. In some embodiments, an alkoxy silane according to the current disclosure comprises a silyl ester. In some embodiments, the alkoxy silane is selected from a group consisting of tetraacetoxysilane (tetraacetyl ortosilicate), tetramethoxysilane, tetraethoxysilane (tetraethyl ortosilicate), trimethoxysilane, triethoxysilane and trimethoxy(3-methoxypropyl)silane. In some embodiments, a trialkoxy silane according to the current disclosure comprises a compound of formula RSi(OR′)3, wherein R is selected from H, 3-aminopropyl, CHCH3, 3-methoxypropyl, and R′ is selected from CH3 and CH2CH3. In some embodiments, a triethoxy silane according to the current disclosure comprises a compound of formula HSi(OCH2CH3)3. In some embodiments, a triethoxy silane according to the current disclosure comprises triethoxy-3-aminopropyl silane (Si(OCH2CH3)3CH2CH2CH2NH2). In some embodiments, a triethoxy silane according to the current disclosure comprises triethoxy(ethyl)silane (Si(OCH2CH3)3CHCH3).


Alkoxy silanes, for example tetraethoxysilane, may have advantages over other silicon precursors in selective deposition applications, as their reactivity is lower. In some embodiments, the silicon precursor does not contain hydroxyl groups. This may apply for OH groups available on the surface of dielectric materials and for metal and metallic surfaces. Alkoxy silanes may also have lower reactivity towards organic passivation agents. In some embodiments, the reduced reactivity towards passivation agents is more pronounced than towards dielectric surfaces. In some embodiments, it is possible to select the process conditions in a way, that growth of silicon and oxygen-comprising material on organic passivation is substantially completely prevented. The reduced reactivity of alkoxy silanes towards organic passivation agents, such as polyimide and/or polyamic acid, may also be more robust than for other silicon precursors, and may be able to tolerate some plasma-induced damage on an organic passivation agent. Taken together, alkoxy silanes in general, and tetraethoxysilane in particular, may have a wider selectivity window compared to methods known in the art.


In some embodiments, the silicon precursor is provided two or more times in at least one silicon and oxygen-comprising material deposition subcycle. In some embodiments, the silicon precursor is provided in two or more consecutive pulses during a deposition cycle. In some embodiments, the silicon precursor comprises tetraethoxysilane. In some embodiments, the silicon precursor consists essentially of tetraethoxysilane. In some embodiments, the silicon precursor comprises trimethoxy(3-methoxypropyl)silane. In some embodiments, the silicon precursor consists essentially of trimethoxy(3-methoxypropyl)silane.


Catalyst

A metal or metalloid catalyst (“catalyst”) is used to enhance or to enable deposition of silicon and oxygen-comprising material on the first surface. To obtain advantages according to the current disclosure, a silicon precursor as described above may be combined with a catalyst. This may allow the deposition using an alkoxy silane according to the current disclosure while retaining selectivity of deposition.


A catalyst according to the current disclosure is a metal or metalloid catalyst. In some embodiments, the catalyst is a metal or metalloid compound comprising B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y or Ga. In some embodiments, the catalyst is a metal halide, organometallic or metalorganic compound. In some embodiments, the catalyst is an alkylaluminium, alkylboron or alkylzinc compound that is able to react with the first surface. For example, the catalyst may comprise trimethyl aluminum (TMA), triethylboron (TEB), or diethyl zinc.


In some embodiments, the catalyst comprises a compound having the formula MRxA3-x, wherein x is from 1 to 3, R is a C1-C5 alkyl ligand, M is B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y or Ga and A is a halide, alkylamine, amino, silyl or derivative thereof. In some embodiments, R is a C1-C3 alkyl ligand. In some embodiment R is a methyl or ethyl group. In some embodiments, the M is boron. In some embodiments, the catalyst is ZnRxA2-x, wherein x is from 1 to 2, R is a C1-C5 alkyl ligand, and A is a halide, alkylamine, amino, silyl or derivative thereof. In some such embodiments R is a C1-C3 alkyl ligand. In some embodiment R is a methyl or ethyl group.


In some embodiments, the catalyst is an aluminum catalyst. Examples of Al compounds that can be used include trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA). In some embodiments, the aluminum catalyst is a heteroleptic aluminum compound. In some embodiments, the heteroleptic aluminum compound comprises an alkyl group and another ligand, such as a halide, for example Cl. In some embodiments, the aluminum catalyst comprises dimethylaluminumchloride. In some embodiments, the aluminum catalyst comprises an alkyl precursor comprising two different alkyl groups as ligands. In some embodiments, the aluminum compound is an aluminum isopropoxide. In some embodiments, the aluminum catalyst comprises a metalorganic compound. In some embodiments, the aluminum catalyst comprises an organometallic compound. In some embodiments, the aluminum catalyst is an aluminum compound such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA).


In some embodiments, the catalyst is a zirconium compound, such as ZrD-04. In some embodiments, the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ). In some embodiments, the catalyst is ZrCl4.


In some embodiments, the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp)3). In some embodiments, the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4. In some embodiments, the catalyst is a gallium compound, such as trimethylgallium (TMG). In some embodiments, the catalyst is a hafnium compound, such as HfD-04, HfCl4 or Hf(NO3)4.


The catalyst may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments, the catalyst is provided in a single long pulse. In some embodiments, the catalyst is provided in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments, the catalyst is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments, the catalyst is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In some embodiments, the catalyst is provided into the reaction chamber in every deposition cycle. In some embodiments, the catalyst is provided into the reaction chamber in every deposition cycle in a single pulse. The pulse length in each deposition cycle may be from about 0.1 seconds to about 10 seconds, such as from about 1 second to about 5 seconds. In between catalyst pulses, excess catalyst may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more. Purging means that vapor phase catalyst and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reaction chamber with an inert gas. In some embodiments, vapor phase catalyst is removed from the substrate surface by moving the substrate from the reaction space comprising the vapor phase catalyst.


The term “catalyst” is used throughout the disclosure for simplicity. It is appreciated that in reality, the surface-bound, catalytically active substance may be chemically different from the substance provided into the reaction chamber in vapor phase.


Oxygen Precursor

An oxygen precursor according to the current disclosure comprises hydrogen and oxygen. In some embodiments, the oxygen precursor does not contain carbon, i.e. it is carbon-free. In some embodiments, the oxygen precursor does not contain silicon, i.e. it is silicon-free. In some embodiments, the oxygen precursor comprises water. In some embodiments, the oxygen precursor is water. In some embodiments, the oxygen precursor comprises hydrogen peroxide. In some embodiments, the oxygen precursor is hydrogen peroxide. Depending on the selected oxygen precursor, it may be liquid or gaseous in the precursor vessel upon vaporization. Also solid precursors may be used.


In some embodiments, the oxygen precursor comprises a carboxyl group. In some embodiments, the oxygen precursor comprises a carboxylic acid. A carboxyl group-comprising oxygen precursor may be a C1 to C7 carboxylic acid, or a C1 to C3 carboxylic acid. Exemplary carboxylic acids according to the current disclosure are formic acid, acetic acid, propionic acid, butyric acid, pentanoic acid, hexanoic acid, heptanoic acid, isobutyric acid, 2-methylbutanoic acid, 3-methylbutanoic acid, pivalic acid, 2,2-dimethylbutanoic acid, 2-methylpentanoic acid, 3-methylpentanoic acid, 2-ethylbutanoic acid, 2-ethylpentanoic acid and 2,3-dimethylbutanoic acid.


In some embodiments, the method comprises using two oxygen precursors. For example, a deposition scheme n(A+B+C), wherein n is the number of deposition cycles and wherein A denotes providing a catalyst into the reaction chamber, B denotes providing a silicon precursor into the reaction chamber and C denotes providing an oxygen precursor into the reaction chamber, can be written out as n(A+B+C1+C2). In the process, C1 may be, for example, a carboxylic acid, such as formic acid, and C2 may be water. In some embodiments, a deposition cycle comprises providing an oxygen precursors three times into the reaction chamber. With the denotations indicated above, a deposition process may be described as n(A+C2+B+C1+C2) or n(A+C1+B+C1+C2). In some embodiments, the silicon precursor may be provided in multiple pulses, separated by an optional purge in between. The various reactants may be provided into the reaction chamber in different order within a master cycle. For example, the process may be described as n(A+C2+m(B+C1), wherein C1 is a carboxylic acid, such as a formic acid, and C2 is water. In alternative embodiments, the process is described as n(A+C+m(B+C), wherein C is a carboxylic acid, such as formic acid. As described above, a catalyst subcycle may comprise providing only a catalyst into the reaction chamber, or providing a catalyst and an oxygen precursor into the reaction chamber. Further, the silicon and oxygen subcycle may comprise providing two oxygen precursors into the reaction chamber. Such a process may be described as n(A+m(B+C1+C2).


Drawings

The disclosure is further explained by the following exemplary embodiments depicted in the drawings. The illustrations presented herein are not meant to be actual views of any particular material, structure, device or an apparatus, but are merely schematic representations to describe embodiments of the current disclosure. It will be appreciated that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help improve the understanding of illustrated embodiments of the present disclosure. The structures and devices depicted in the drawings may contain additional elements and details, which may be omitted for clarity.



FIG. 1, panels a) to f) illustrates an embodiment of a method according to the current disclosure schematically. In the drawing, a substrate 100 comprising a first surface 102 and a second surface 104 is depicted. The first surface 102 is blocked relative to the second surface 104 by a blocking layer 106, the second surface 104 is selectively passivated by an organic passivation layer 108 relative to the first surface 102 comprising the blocking layer 106, followed by selective deposition of silicon and oxygen-comprising material 112 on the first surface 102 relative to the passivated second surface 104.


Panel a) illustrates a substrate 100 having two surfaces 102, 104 having different material properties. For example, the first surface 102 may be a dielectric surface. The first surface 102 may comprise, consist essentially of, or consist of silicon oxide -based material or another dielectric material described in this disclosure. The second surface 104 may comprise, consist essentially of, or consist of a metal, such as copper (Cu).


Panel b) shows the substrate 100 of panel a) after selective blocking of the second surface 104, such as by silylation. For example, a blocking layer 106 may be formed selectively on a dielectric surface by exposing the substrate 100 to a silylating agent, such as alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimenthylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).


Panel c) shows the substrate 100 of panel b) after selective deposition of an organic passivation layer 108 on the second surface 104, such as by formation of a SAM or a polyimide-comprising layer.


Panel d) shows the substrate 100 of panel c) following selective deposition of a catalyst 110 on the first surface relative to the polymer passivation layer 108 on the second surface 104. The catalyst may be formed selectively on the first surface 102 by exposing the substrate to a catalyst such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA). Although illustrated with an aluminum catalyst, in other embodiments catalysts comprising other metals may be used.


Panel e) shows the substrate 100 of panel d) following selective deposition of silicon and oxygen-comprising material 112 on the catalyzed first surface 102 relative to the polymer passivated second surface 104. The silicon and oxygen-comprising material 112 is deposited by providing a silicon precursors comprising an alkoxy silane, such as tetraethoxysilane into the reaction chamber and providing an oxygen precursor, such as water, into the reaction chamber in accordance with the current disclosure. Without limiting the current disclosure to any specific theory, the alkoxy silane may decompose on the metal atoms on a catalyzed dielectric surface, leading to the deposition of silicon and oxygen-comprising material, such as silicon oxide-comprising material, on the first surface.


Any silicon and oxygen-comprising material 112 deposited on the second surface 104, such as on the polymer passivated metal layer 108, can be removed by a post-deposition treatment, such as an etch-back process. Because the silicon and oxygen-comprising material is deposited selectively on the first surface 102, any silicon and oxygen-comprising material 112 left on the passivation layer 108 will be thinner than the silicon and oxygen-comprising material deposited on the first surface 102. Accordingly, the post-deposition treatment can be controlled to remove all, or substantially all, of the silicon and oxygen-comprising material from over the second surface 104 without removing all of the silicon and oxygen-comprising material 112 from over the dielectric surface. Repeated selective deposition and etching back in this manner can result in an increasing thickness of the silicon and oxygen-comprising material 112 on the first surface 102 with each cycle of deposition and etch. Repeated selective deposition and etching back in this manner can also result in increased overall selectivity of the silicon and oxygen-comprising material 112 deposition on the first surface 102, as each cycle of deposition and etch leaves a clean passivation layer 108 over which the selective silicon and oxygen-comprising material is deposited at a lower rate compared to the first surface 102. In other embodiments, silicon and oxygen-comprising material over the second surface 104 may be removed during subsequent removal of the passivation layer 108.


Panel f) shows the substrate of panel e) after a post-deposition treatment to remove the passivation layer 108 from the second surface 104, such as by an etch process. In some embodiments, the etch process may comprise exposing the substrate 100 to a plasma. In some embodiments, the plasma may comprise oxygen atoms, oxygen radicals, oxygen plasma, or combinations thereof. In some embodiments, the plasma may comprise hydrogen atoms, hydrogen radicals, hydrogen plasma, or combinations thereof. In some embodiments, the plasma may comprise noble gas species, for example Ar or He species. In some embodiments, the plasma may consist essentially of noble gas species. In some embodiments, the plasma may comprise other species, for example nitrogen atoms, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the etch process may comprise exposing the substrate to an etchant comprising oxygen, for example O3. In some embodiments, the substrate may be exposed to an etchant at a temperature of between about 30° C. and about 500° C., or between about 100° C. and about 400° C. In some embodiments, the etchant may be supplied in one continuous pulse or may be supplied in multiple pulses. The removal of the passivation layer 108 can be used to lift-off any remaining silicon and oxygen-comprising material from over the metal layer, either in a complete removal of the passivation layer 108 or in a partial removal of the passivation layer 108 in a cyclical selective deposition and removal.



FIG. 2A is a block diagram of exemplary embodiments of a method according to the current disclosure. First, a substrate is provided in a reaction chamber at block 202. The substrate comprises a first surface and a second surface as described in the current disclosure. For example, the first surface may be a dielectric surface comprising a passivation blocking agent, such as a silylating agent, and the second surface may be a metal surface, such as copper surface, comprising an organic passivation layer. In an exemplary embodiment, the metal passivation layer comprises polyimide. The deposition of a passivation layer may comprise etching back the deposited passivation layer for improving the accuracy of subsequent selective deposition. The substrate may be heated at block 202 prior to providing a catalyst into the reaction chamber.


After providing the substrate into the reaction chamber, 202, a catalyst is provided into the reaction chamber at block 204 to contact the catalyst with the substrate. The catalyst may be, for example, an aluminum-comprising catalyst, such as dimethylaluminum isopropoxide. The catalyst is provided into the reaction chamber in vapor phase. The duration of providing the catalyst may be, for example from about 0.5 seconds to about 10 seconds, such as about 1 second, about 2 seconds, about 3 seconds, about 5 seconds or about 7 seconds. The reaction chamber may be purged after providing the catalyst into the reaction chamber. Purging is not indicated in FIG. 2A, but it may be optionally included in block 204.


At block 206, a silicon precursor comprising an alkoxy silane is provided into the reaction chamber in a vapor phase. In an exemplary embodiment, the silicon precursor is tetraethoxysilane. The silicon precursor is selectively chemisorbed on the first surface relative to the second surface of the substrate. The silicon precursor may be provided into the reaction chamber (i.e. pulsed) for about 0.2 to 8 seconds, for example, about 0.5 seconds, about 1 second, about 3 seconds or about 5 seconds. In some embodiments, the silicon precursor is provided into the reaction chamber in multiple, such as 2, 4 or 10, consecutive pulses. In some embodiments, the silicon precursor is provided into the reaction chamber in a single pulse for each deposition cycle. The reaction chamber may be purged after a silicon precursor pulse. Purging is not indicated in FIG. 2A, but it may be optionally included in block 206.


At block 208, an oxygen precursor is provided into the reaction chamber in a vapor phase. In an exemplary embodiment, the oxygen precursor is water. The oxygen precursor reacts with the chemisorbed silicon precursor to form silicon and oxygen-comprising material on the first surface of the substrate. The silicon and oxygen-comprising material may comprise, for example, silicon oxide, and/or metal silicates, such as aluminum silicate. The reaction chamber may be purged after an oxygen precursor pulse. Purging is not indicated in FIG. 2A, but it may be optionally included in block 208.


The deposition process according to the current disclosure is a cyclic deposition process. Thus, at loop 210, the deposition cycle is initiated again. The deposition cycle may be repeated as many times as needed to deposit a desired amount of silicon and oxygen-comprising material on the substrate. For example, the deposition cycle may be performed from 2 to about 1,000 times, or from about 10 to about 500 times, or from about 10 to about 500 times, or from about 50 to 300 times. For example, the deposition cycle may be performed about 70 times, about 100 times, about 150 times, about 200 times or about 400 times. Although not depicted in the current disclosure, the process may comprise additional steps, for example refreshing any blocking or passivation that may be necessary for the continued selective deposition.


As indicated above, selective deposition according to the current disclosure may have a broader selectivity window relative to methods known in the art. For example, the temperature during the process may vary. In some embodiments, the deposition (including providing a catalyst into the reaction chamber) is performed at a temperature from about 150° C. to about 450° C., such as at 300° C.


In some embodiments, the selective deposition of silicon and oxygen-comprising material on the first surface does not damage an organic passivation layer present on the second surface. Further, in some embodiments, the silicon and oxygen-comprising material is substantially not deposited on an organic passivation layer.


In some embodiments, the silicon and oxygen comprising material deposited according to the current disclosure comprises predominantly, such as at least 60 at. % or at least 80 at. %, silicon and oxygen. The different deposition schemes presented in FIGS. 2A to 2D allow for adjusting the composition of the deposited material according to the needs of an application in question.


Although not depicted in the drawings 2A to 2D, it is possible for the phases of the deposition process to overlap. For example, phases 204 bad 206 may be performed at least partially simultaneously. In some embodiments, phases 206 and 208 are performed at least partially simultaneously.



FIG. 2B is a block diagram of additional exemplary embodiments of a method according to the current disclosure. Blocks 202, 204, 206 and 208 are performed similarly to the embodiments described in FIG. 2A. In the embodiments of FIG. 2B, blocks 206 and 208 form a silicon and oxygen-comprising material subcycle that is performed at least 2 times (loop 212) before the master cycle, comprising also block 204, and indicated by loop 210, is repeated. The number of repetitions of the silicon and oxygen-comprising material subcycle 212 may vary, and the proportion of silicon relative to a metal comprised in the deposited material may be regulated through the selection of the number of subcycles 212 to be performed in each master cycle 210. For example, the silicon and oxygen-comprising material subcycle could be performed at least twice in each master cycle. In some embodiments, the silicon and oxygen-comprising material subcycle is performed from 2 to about 50 times, for example about 10 or about 20 or about 30 times, in each master cycle. For example, in embodiments in which the silicon and oxygen-comprising material comprises aluminum, the ratio of silicon to aluminum may be increased from less than 0.5 to about 3.5 by increasing the number of subcycles from 1 (i.e. similar to the embodiment of FIG. 2A) to about 30. A master cycle may be performed from 1 to about 500 times, depending on the target thickness of the deposited material. In an exemplary embodiment, a master cycle comprises providing an aluminum-comprising metal catalyst for 3 seconds into the reaction chamber, then performing a silicon and oxygen-comprising material subcycle 8 times before repeating the master cycle. The master cycle is performed about 100 times to deposit a layer of silicon and oxygen-comprising material having a thickness of about 7 to 8 nm. The metal catalyst in the exemplary embodiment is dimethylaluminum isopropoxide, the silicon precursor is tetraethoxysilane (pulsed for 1 second) and the oxygen precursor is water (pulsed for 0.5 seconds).



FIG. 2C is a block diagram of yet additional exemplary embodiments of a method according to the current disclosure. Blocks 202, 204, 206 and 208 are performed similarly to the embodiments described in FIGS. 2A and 2B. In the embodiments of FIG. 2C, blocks 206 and 208 form a silicon and oxygen-comprising material subcycle (loop 212) as in the embodiment of FIG. 2B. However, in the embodiments of FIG. 2C, block 204 of providing a catalyst into the reaction chamber is performed with block 208a of providing an oxygen precursor into the reaction chamber. Blocks 204 and 208a form a metal oxide subcycle that is repeated for a predetermined number of times (loop 214) before a silicon and oxygen-comprising material subcycle 212 is performed. The parameters of block 208a may be independently selected relative to the parameters of block 208 for optimizing the metal oxide subcycle. Thus, master cycle in the embodiments of FIG. 2C comprises at least one metal oxide subcycle 214 and at least two silicon and oxygen-comprising material subcycles 212. The number of repetitions of the metal oxide subcycles 214 and the silicon and oxygen-comprising material subcycle 212 may vary, and the ratio between these two subcycles may be used to adjusting the ratio of metal oxide (such as aluminum oxide) and the silicon and oxygen-comprising material deposited during subcycle 212. The embodiments of FIG. 2C may be used to deposit nanolaminate structures comprising alternately a metal oxide layer (which may contain also silicon, such a silicon oxide and/or silicates) and a silicon and oxygen-comprising layers (which may contain also a metal, such aluminum, in addition to silicon oxide and/or silicates). The thickness of the two layers may be adjusted by changing the proportions of the two subcycles, and this may allow flexible adjusting of the properties of the deposited material. The layers may also be partially or fully mixed. As above, the overall thickness of the deposited material may be adjusted by changing the number of the master cycles performed.


In a modification of the embodiment of FIG. 2C, the method 200 comprises at least two loops 210 with different parameters. Such embodiments may be useful in depositing silicon and oxygen-comprising material having different properties in the beginning of the deposition (i.e. closer to the substrate) and later in the deposition (i.e. closer to the surface of the deposited material). For example, the process may be initiated with a ratio of loops 214 and 212 of about 1:10 or lower in a loop 210, i.e. there are at least 10 silicon and oxygen-comprising material subcycles 212 for every metal oxide subcycle 214. For example, the ratio of the loops 214 and 212 may be about 1:12, 1:15, 1:18 or about 1:22. Depending on the process, from about 1 nm to about 8 nm, such as from about 3 nm to about 7 nm or from about 4 nm to about 5 nm of silicon and oxygen-comprising material may be deposited with the above ratio of loops 214 and 212. The material deposited with the low ratio of loops 214 and 212 may allow the deposition of silicon and oxygen-comprising material with a k value of about 4.3 to about 4.6, such as about 4.5, and refractive index of about 1.55. In some embodiments, the process comprises performing loop 214 once, and performing loop 212 at least ten times, such as twelve times, thirteen times, fifteen times or eighteen times.


After performing the loop 210 for a desired number of times, the process may continue by performing the loop 210 with different parameters, such as with a higher ratio of loops 214 and 212. For example, the ratio may vary between about 1:2 and about 1:9, and it may be, for example 1:4, 1:5, 1:6 or 1:7. The higher ratio between loops 214 and 212 may be used until, for example about 0.5 nm to about 5 nm, such as about 1 nm, about 2 nm or about 3 nm of the silicon and oxygen-comprising material is deposited. This may lead to increased proportion of metal in the silicon and oxygen-comprising material, and different material properties thereof. For example, the k value of the material typically increases. The increase depends on the ratio of loops 214 and 214, but for a ratio of 1:5, it may be between about 5 and 6. However, also other properties, such as etch resistance of the deposited silicon and oxygen-comprising material may change. With the increase of the metal, such as aluminum, content of the silicon and oxygen-comprising material, the etch resistance of the deposited silicon and oxygen-comprising material may improve. In some embodiments, the etch resistance of the material deposited by the high loop 214 to 212 ratio may be, for example 0.025 Å/s, when CF4 gas is used as the etchant. The corresponding value for the low-ratio loop, producing silicon and oxygen-comprising material with less metal may be above 1.5 Å/s. The etch rate will depend on the used etchant and the etching conditions, so the absolute values may vary, and the exemplary value given above is only an illustration to indicate the magnitude of change that can be achieved. Alternatively, other etchants, such as of generic formula CHxFy, could be used.


In applications, in which good etch resistance and low k value are of interest, this configuration of the method may be used to optimize the two contradicting goals. Thus, in one aspect, a method of optimizing the k value and etch resistance of silicon and oxygen-comprising material is disclosed. In the method, the ratio the metal oxide subcycles 214 and silicon and oxygen-comprising material subcycles 212 are different during the deposition process. In other words, at least two different ratios of loops 214 and 212 are used in the loop 210 during a deposition process. The metal may be aluminum. Such embodiments may find use in, for example, fully self-aligned vias. By adjusting the ratios in the two different loops 210, the overall k-value of the deposited material may be kept low, but an etch-resistant material may be deposited in the top portion of the deposited layer. Further, it may be possible to modulate the layer stress by varying the proportion of metal, such as aluminum, in the silicon and oxygen-comprising material along the thickness of the deposited material.


In some embodiments, at least two different ratios of the metal oxide subcycles 214 and silicon and oxygen-comprising material subcycles 212 are used. In some embodiments, two different ratios of the metal oxide subcycles 214 and silicon and oxygen-comprising material subcycles 212 are used. In some embodiments, three different ratios of the metal oxide subcycles 214 and silicon and oxygen-comprising material subcycles 212 are used. In some embodiments, four different ratios of the metal oxide subcycles 214 and silicon and oxygen-comprising material subcycles 212 are used. In some embodiments, at least four different ratios of the metal oxide subcycles 214 and silicon and oxygen-comprising material subcycles 212 are used.


The methods according to the current disclosure may have advantages that reactants used throughout the process remain the same, making the deposition of the material simple. Further, the process may be easily adjusted, as only the subcycle numbers need to be modified.



FIG. 2D is a block diagram of further exemplary embodiments of a method according to the current disclosure. Blocks 202, 204, 206 and 208 are performed similarly to the previously described embodiments of FIGS. 2A to 2C. In the embodiments of FIG. 2D, an activation treatment (loop 214a) comprising providing a catalyst into the reaction chamber 204a and providing an oxygen precursor 208a into the reaction chamber is performed before starting the deposition of silicon and oxygen-comprising material. The deposition process may comprise providing a catalyst 204 and providing an oxygen precursor 208 as a metal oxide subcycle as described under FIG. 2C (loop 214), but the process parameters of the activation treatment 214a are selected independently, and may differ from those of the deposition process. The deposition process may be performed according to any of the embodiments described in FIGS. 2A to 2C. An activation treatment may reduce the delay in growth initiation that may be observed in methods according to the current disclosure. In some embodiments (not depicted in FIG. 2D), an activation treatment may comprise a plasma treatment (such as N2/Ar plasma treatment). In some embodiments, a plasma treatment may be performed in addition or as an alternative to the catalyst and oxygen-comprising activation treatment. In addition to reducing growth delay of the silicon and oxygen-comprising material, an activation treatment according to the current disclosure may reduce the number of defects, especially on small-pitch structures, and reduce non-uniformities across substrate surface.


An activation treatment may be performed, for example, by providing a catalyst, such as dimethylaluminum isopropoxide, and an oxygen precursor, such as water, into the reaction chamber alternatively and sequentially. In some embodiments, the reaction chamber is purged after providing the catalyst and after providing the oxygen precursor into the reaction chamber. The processing conditions during the activation treatment can be the same as during the deposition. However, the conditions, such as temperature, pressure, pulse length etc., may be independently selected for optimizing the activation treatment. In some embodiments, a temperature during the activation treatment is from about 250° C. to about 400° C., such as from about 300° C. to about 380° C., for example about 340° C. or about 350° C. The purpose of the activation treatment is not to deposit metal oxide on the substrate, as that could adversely influence the performance of a silicon and oxygen-comprising layer. Instead, without limiting the current disclosure to any specific theory, an activation treatment may amend the properties of the first surface to improve the initiation of silicon and oxygen-comprising material growth. In some embodiments, providing a catalyst and an oxygen precursor is repeated from 2 to about 35 times, for example from about 8 to 30 times, or from about 12 to about 25 times. Pulse length for catalyst and oxygen precursor may be selected independently and may vary from 0.1 seconds to about 8 seconds, or from about 1 second to about 6 seconds. Exemplary pulse lengths during an activation treatment are 2 seconds, 3 seconds, 4 seconds or 5 seconds.


In some embodiments, a different oxygen precursor is used during the activation treatment that during the deposition process. For example, water may be used as an oxygen precursor during the activation treatment, whereas a carboxylic acid, such as formic acid, may be used as an oxygen precursor during the deposition process. As described above, in some embodiments, two different oxygen precursors are used during the deposition process as well.


In some embodiments, the activation treatment is performed immediately before the beginning of the deposition. In some embodiments, the activation treatment is performed in the same reaction chamber as the deposition treatment. In some embodiments, the activation treatment is performed in a different deposition station of a multi-station deposition chamber.



FIG. 3 illustrates a deposition assembly 300 according to the current disclosure in a schematic manner. In one aspect, a deposition assembly for depositing silicon and oxygen-comprising material on a substrate is disclosed. The deposition assembly comprises one or more reaction chambers constructed and arranged to hold the substrate, a precursor injector system constructed and arranged to provide a catalyst, a silicon precursor and an oxygen precursor into the reaction chamber in a vapor phase. The deposition assembly comprises a first reactant vessel constructed and arranged to contain the catalyst, a second reactant vessel constructed and arranged to contain the silicon precursor, and a third reactant vessel constructed and arranged to contain the oxygen precursor. The assembly is constructed and arranged to provide the catalyst, the silicon precursor and the oxygen precursor via the precursor injector system to the reaction chamber to deposit silicon and oxygen-comprising material on the substrate.


Deposition assembly 300 can be used to perform a method as described herein. In the illustrated example, deposition assembly 300 includes one or more reaction chambers 302, a precursor injector system 301, a first reactant vessel 302, a second reactant vessel 303, a third reactant vessel 304, an exhaust source 320, and a controller 330. The deposition assembly 300 may comprise one or more additional gas sources (not shown), such as an inert gas source, a carrier gas source and/or a purge gas source. In embodiments, in which blocking and/or passivation is performed in the same deposition assembly, the assembly may comprise the corresponding sources.


Reaction chamber 302 can include any suitable reaction chamber, such as an ALD or CVD reaction chamber as described herein.


The first reactant vessel 302 can include a vessel and a catalyst as described herein - alone or mixed with one or more carrier (e.g., inert) gases. A second reactant vessel 303 can include a vessel and a silicon precursor as described herein - alone or mixed with one or more carrier gases. A third reactant vessel 304 can include an oxygen precursor as described herein. For embodiments utilizing more than one oxygen precursors, there may be a corresponding number of third reactant vessels 304, although one is depicted in FIG. 3. Thus, although illustrated with three source vessels 302-304, deposition assembly 300 can include any suitable number of source vessels. Source vessels 302-304 can be coupled to reaction chamber 302 via lines 312-314, which can each include flow controllers, valves, heaters, and the like. In some embodiments, each of the catalyst in the first reactant vessel 302, the silicon precursor in the second reactant vessel 303 and/or the oxygen precursor in the third reactant vessel 304 may be independently heated or kept at ambient temperature. In some embodiments, a vessel is heated so that a precursor or a reactant reaches a suitable temperature for vaporization


Exhaust source 320 can include one or more vacuum pumps.


Controller 330 includes electronic circuitry and software to selectively operate valves, manifolds, heaters, pumps and other components included in the deposition assembly 300. Such circuitry and components operate to introduce precursors, reactants and purge gases from the respective sources. Controller 330 can control timing of gas pulse sequences, temperature of the substrate and/or reaction chamber 302, pressure within the reaction chamber 302, and various other operations to provide proper operation of the deposition assembly 300. Controller 330 can include control software to electrically or pneumatically control valves to control flow of precursors, reactants and purge gases into and out of the reaction chamber 302. Controller 330 can include modules such as a software or hardware component, which performs certain tasks. A module may be configured to reside on the addressable storage medium of the control system and be configured to execute one or more processes.


Other configurations of deposition assembly 300 are possible, including different numbers and kinds of precursor and reactant sources. For example, a reaction chamber 302 may comprise more than one, such as two or four, deposition stations. Such a multi-station configuration may have advantages if, for example, blocking, passivation and/or activation treatment are to be performed in the same chamber. Further, it will be appreciated that there are many arrangements of valves, conduits, precursor sources, and reactant sources that may be used to accomplish the goal of selectively and in coordinated manner feeding gases into reaction chamber 302. Further, as a schematic representation of a deposition assembly, many components have been omitted for simplicity of illustration, and such components may include, for example, various valves, manifolds, purifiers, heaters, containers, vents, and/or bypasses.


During operation of deposition assembly 300, substrates, such as semiconductor wafers (not illustrated), are transferred from, e.g., a substrate handling system to reaction chamber 302. Once substrate(s) are transferred to reaction chamber 302, one or more gases from gas sources, such as precursors, reactants, carrier gases, and/or purge gases, are introduced into reaction chamber 302.


In this disclosure, “gas” can include material that is a gas at normal temperature and pressure (NTP), a vaporized solid and/or a vaporized liquid, and can be constituted by a single gas or a mixture of gases, depending on the context. Precursors according to the current disclosure may be provided to the reaction chamber in gas phase. The term “inert gas” can refer to a gas that does not take part in a chemical reaction and/or does not become a part of a layer to an appreciable extent. Exemplary inert gases include He and Ar and any combination thereof. In some cases, molecular nitrogen and/or hydrogen can be an inert gas. A gas other than a process gas, i.e., a gas introduced without passing through a precursor injector system, other gas distribution device, or the like, can be used for, e.g., sealing the reaction space, and can include a seal gas.


The example embodiments of the disclosure described above do not limit the scope of the invention, since these embodiments are merely examples of the embodiments of the invention, which is defined by the appended claims and their legal equivalents. Any equivalent embodiments are intended to be within the scope of this invention. Various modifications of the disclosure, in addition to those shown and described herein, such as alternative useful combinations of the elements described, may become apparent to those skilled in the art from the description. Such modifications and embodiments are also intended to fall within the scope of the appended claims.

Claims
  • 1. A method of selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process, the method comprising providing a substrate in a reaction chamber;providing a metal or metalloid catalyst into the reaction chamber in a vapor phase;providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase; andproviding an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising material on the first surface.
  • 2. The method of claim 1, wherein the second surface comprises a passivation layer.
  • 3. The method of claim 1, wherein the first surface is a silicon-comprising dielectric surface.
  • 4. The method of claim 1, wherein the catalyst is a metal halide, organometallic compound or metalorganic compound.
  • 5. The method of claim 1, wherein the catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA), tris(dimethylamino) aluminum (TDMAA) or triethyl aluminum (TEA).
  • 6. The method of claim 1, wherein the catalyst is a compound comprising B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y or Ga.
  • 7. The method of claim 1, wherein the alkoxy silane is selected from a group consisting of tetraacetoxysilane, tetramethoxysilane, tetraethoxysilane, trimethoxysilane, triethoxysilane and trimethoxy(3-methoxypropyl)silane.
  • 8. The method of claim 1, wherein the oxygen precursor is water.
  • 9. The method of claim 1, wherein the oxygen precursor is a carboxyl group-comprising compound.
  • 10. The method of claim 1, wherein at least two different pressures are used during a deposition cycle.
  • 11. The method of claim 1, wherein a first pressure is used during providing the catalyst into the reaction chamber, and a second pressure is used when providing the silicon precursor into the reaction chamber.
  • 12. The method of claim 11, wherein the first pressure is lower than the second pressure.
  • 13. The method of claim 12, wherein the first pressure is lower than about 5 Torr.
  • 14. The method of claim 12, wherein the second pressure is higher than or equal to about 5 Torr.
  • 15. The method of claim 1, wherein at least one oxygen precursor is provided into the reaction chamber at least partially simultaneously with the silicon precursor.
  • 16. The method of claim 15, wherein the at least one oxygen precursor is provided into the reaction chamber at least partially after providing the silicon precursor into the reaction chamber.
  • 17. The method of claim 1, further comprising an activation treatment before the silicon-comprising material deposition, wherein the activation treatment comprises providing a catalyst into the reaction chamber in a vapor phase; and providing an oxygen precursor into the reaction chamber in a vapor phase.
  • 18. A method of selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process, the method comprising providing a substrate in a reaction chamber;providing a metal or metalloid catalyst into the reaction chamber in a vapor phase; andperforming a silicon and oxygen-comprising material subcycle, said subcycle comprising alternately and sequentially providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising material on the first surface.
  • 19. The method of claim 18, wherein the process comprises providing a passivation agent into the reaction chamber in a vapor phase to selectively passivate the second surface before providing the catalyst into the reaction chamber.
  • 20. The method of claim 18, wherein the silicon and oxygen-comprising material subcycle is repeated two or more times.
  • 21. The method of claim 18, wherein providing the catalyst into the reaction chamber and the silicon and oxygen-comprising material subcycle are repeated two or more times.
  • 22. A method of selectively depositing silicon and oxygen-comprising material on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process, the method comprising providing a substrate in a reaction chamber;performing a metal oxide subcycle, said subcycle comprising providing alternately and sequentially a metal or metalloid catalyst and an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in a vapor phase; andperforming a silicon and oxygen-comprising material subcycle, said subcycle comprising alternately and sequentially providing a silicon precursor comprising an alkoxy silane compound into the reaction chamber in a vapor phase and providing an oxygen precursor comprising oxygen and hydrogen into the reaction chamber in vapor phase to form silicon and oxygen-comprising material on the first surface.
  • 23. The method of claim 22, wherein at least one of the metal oxide subcycle and the silicon and oxygen-comprising material subcycle are performed more than once before performing another subcycle.
Provisional Applications (1)
Number Date Country
63273267 Oct 2021 US