Self-assembled nanostructures including metal oxides and semiconductor structures comprised thereof

Information

  • Patent Grant
  • 11532477
  • Patent Number
    11,532,477
  • Date Filed
    Monday, July 30, 2018
    5 years ago
  • Date Issued
    Tuesday, December 20, 2022
    a year ago
Abstract
A self-assembled nanostructure comprises first domains and second domains. The first domains comprise a first block of a block copolymer material and an activatable catalyst. The second domains comprise a second block and substantially without the activatable catalyst. The activatable catalyst is capable of generating catalyst upon application of activation energy, and the generated catalyst is capable of reacting with a metal oxide precursor to provide a metal oxide. A semiconductor structure comprises such self-assembled nanostructure on a substrate.
Description
FIELD

The present disclosure, in various embodiments, relates generally to methods of preparing nanostructures from self-assembled block copolymer materials selectively permeated with metal oxides and to semiconductor structures including such nanostructures.


BACKGROUND

A continuing goal of integrated circuit fabrication is to decrease the dimensions thereof. Integrated circuit dimensions can be decreased by reducing the dimensions and spacing of the constituent features or structures. For example, by decreasing the dimensions and spacing of features (e.g., storage capacitors, access transistors, access lines) of a memory device, the overall dimensions of the memory device may be decreased while maintaining or increasing the storage capacity of the memory device.


As the dimensions and spacing of semiconductor device features become smaller, conventional lithographic processes become increasingly more difficult and expensive to conduct. Therefore, significant challenges are encountered in the fabrication of nanostructures, particularly structures having a feature dimension (e.g., critical dimension) of less than a resolution limit of conventional photolithography techniques (about 50 nm). It is possible to fabricate semiconductor structures of such feature dimensions using a conventional lithographic process, such as shadow mask lithography and e-beam lithography. However, use of such processes is limited because the exposure tools are extremely expensive or extremely slow and, further, may not be amenable to formation of structures having dimensions of less than 50 nm.


The development of new lithographic processes, as well as materials useful in such processes, is of increasing importance to make the fabrication of small-scale devices easier, less expensive, and more versatile. One example of a method of fabricating small-scale devices that addresses some of the drawbacks of conventional lithographic techniques is self-assembled block copolymer lithography.


In self-assembled block copolymer lithography, block copolymer materials formed on a substrate are subject to microphase segregation, such as by annealing, to self-assemble the block copolymer materials into ordered nano-scale domains of one block of the block copolymer materials in a matrix of the other block of the block copolymer materials. Then, the domains of one block in the self-assembled block copolymer materials may be selectively removed, leaving the domains of the other block as an etch mask on the substrate. Dimensions of the self-assembled domains so formed are conventionally in the range of 5 nm to 50 nm, which are the dimensions that are extremely difficult to define using conventional lithographic techniques. Therefore, self-assembled block copolymer materials are useful as an etch mask in fabrication of nano-scale semiconductor devices.


Although the self-assembled block copolymer lithography is useful for fabrication of semiconductor structures having dimensions of less than 50 nm, there are still problems that must be addressed. Self-assembled block copolymer structures including the self-assembled block copolymer materials may not provide nano-scale etch masks with sufficient pattern fidelity in terms of line edge and roughness. For example, the self-assembled block copolymer structures often include undesirable random curvatures at the interfaces of domains, resulting in nano-scale etch masks having undesirably high degree of edge roughness and inconsistent widths. One conventional approach to address this problem is by removing (i.e., cutting, chopping) the portions of self-assembled block copolymer domains having undesirable random curvations, prior to further processing the self-assembled block copolymer structures into nano-scale etch masks.


Additionally, the different domains of the self-assembling block copolymer structures often have little or no etch selectivity from one another. Therefore, improving etch selectivity of the self-assembled domains is desirable.


Accordingly, there is a need for more reliable and less expensive fabrication techniques which are suitable for fabricating complex devices with the desired enhanced density to meet future demands.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-6 are isometric views of various stages in the preparation of nanostructures from self-assembled block copolymer compositions selectively permeated with metal oxides according to an embodiment of the present disclosure;



FIGS. 7-12A and 12B are isometric views of various stages in the preparation of nanostructures from self-assembled block copolymer compositions selectively permeated with metal oxides according to another embodiment of the present disclosure; and



FIGS. 13-17A and 17B are isometric views of various stages in the preparation of nanostructures from self-assembled block copolymer compositions selectively permeated with metal oxides according to yet another embodiment of the present disclosure.





DETAILED DESCRIPTION

The following description provides specific details, such as material types, material thicknesses, and processing conditions in order to provide a thorough description of embodiments of the disclosure. However, a person of ordinary skill in the art will understand that embodiments of the present disclosure may be practiced without employing these specific details. Indeed, the embodiments of the present disclosure may be practiced in conjunction with conventional fabrication techniques employed in the industry.


In addition, the description provided herein does not form a complete process flow for forming a semiconductor device structure, and the semiconductor device structures described below do not form a complete semiconductor device. Only those process acts and structures necessary to understand the embodiments of the present disclosure are described in detail below. Additional acts to form the complete semiconductor device may be performed by conventional fabrication techniques. Also, the drawings accompanying the application are for illustrative purposes only, and are thus not necessarily drawn to scale. Elements common between figures may retain the same numerical designation. Furthermore, while the materials described and illustrated herein may be formed as layers, the materials are not limited thereto and may be formed in other three-dimensional configurations.


As used herein, any relational terms, such as “first,” “second” and “third,” or “top,” “middle” and “bottom,” are used for clarity and convenience in understanding the present disclosure and accompanying drawings and does not connote or depend on any specific preference, orientation or order. It is understood that, although the terms “first,” “second,” “third,” “top,” “middle” and “bottom” are used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another.


As used herein, the term “substantially,” in reference to a given parameter, property or condition, means to a degree that one of ordinary skill in the art would understand that the given parameter, property, or condition is met with a small degree of variance, such as within acceptable manufacturing tolerances.


As used herein, the term “substrate” means and includes a base material or construction upon which additional materials are formed. The substrate may be, for example, a semiconductor substrate, a base semiconductor material on a supporting structure, a metal electrode or a semiconductor substrate having one or more materials, structures or regions formed thereon. The substrate may be a conventional silicon substrate, or other bulk substrate comprising a layer of semiconductive material. As used herein, the term “bulk substrate” means and includes not only silicon wafers, but also silicon-on-insulator (SOI) substrates, silicon-on-sapphire (SOS) substrates and silicon-on-glass (SOG) substrates, epitaxial layers of silicon on a base semiconductor foundation, or other semiconductor or optoelectronic materials, such as silicon-germanium (Si1-xGex, where x is, for example, a mole fraction between 0.2 and 0.8), germanium (Ge), gallium arsenide (GaAs), gallium nitride (GaN), or indium phosphide (InP), among others. Furthermore, when reference is made to a “substrate” in the following description, previous process acts may have been conducted to form materials, regions, or junctions in the base semiconductor structure or foundation. In one embodiment, the substrate is a silicon-containing material, such as a silicon substrate. The substrate may be doped or undoped.


As used herein, the terms “polymer block” or “block” mean and include a grouping of multiple monomer units of a single type (i.e., a homopolymer block) or multiple types (i.e., a copolymer block) of constitutional units into a continuous polymer chain of a desired length that forms part of a larger polymer of an even greater length.


As used herein, the term “block copolymer” means and includes a polymer composed of chains where each chain contains two or more polymer blocks as defined above and at least two of the blocks are of sufficient segregation strength for those blocks to phase separate. A wide variety of block copolymers are contemplated herein including diblock copolymers (i.e., polymers including two polymer blocks), triblock copolymers (i.e., polymers including three polymer blocks), multiblock copolymers (i.e., polymers including more than three polymer blocks), or combinations thereof.


As used herein, the term “amphiphilic block copolymer” means and includes a block copolymer material as defined above with the two or more polymer blocks having different solubilities (i.e., at least one hydrophilic block and at least one hydrophobic block) in water.


The terms “microphase segregation” or “microphase separation,” as used herein, mean and include the property by which homogeneous blocks of a block copolymer material aggregate mutually, and heterogeneous blocks of a block copolymer material separate into distinct domains.


The terms “annealing” or “anneal,” as used herein, mean and include treatment of the block copolymer material so as to enable sufficient microphase separation between two or more different blocks of the block copolymer material to self-assemble into an ordered pattern defined by repeating structural units formed from the blocks.


The term “preferential wetting,” as used herein, means and includes wetting of a block copolymer material wherein the contacting surface at an interface wets one block of the block copolymer material more easily than the other block(s).


The term “neutral wetting,” as used herein, means and includes wetting of a block copolymer material wherein the contacting surface at an interface wets all blocks of the block copolymer material substantially equally.


The term “activatable catalyst,” as used herein, means and includes a material that functions as catalyst upon application of an activation energy, such as photo or thermal energy, and that does not function as a catalyst unless activated.



FIGS. 1-6 show various stages in the preparation of nanostructures from self-assembled block copolymer material selectively permeated with metal oxides according to an embodiment of the present disclosure, wherein the self-assembling of the block copolymer material is directed through graphoepitaxy technique.



FIG. 1 shows a patterned material 110 including a substrate 101, spacers 102 on the substrate 101, and trenches 103 defined by a floor 104 (e.g., surface of the substrate 101) and sidewalls 105 of spacers 102. The patterned material 110 may be formed using any conventional techniques and, therefore is not described in detail herein. Although the patterned material 110 of FIG. 1 shows three trenches, it is understood that the patterned material 110 may include one trench, two trenches, or more than three trenches.


As shown in FIG. 2, the trenches 103 of the patterned material 110 may be substantially filled with a block copolymer composition 200 to provide a semiconductor structure 100. The block copolymer composition 200 may be formed in the trenches 103 by any suitable technique including, but not limited to, spin-coating, blanket coating, spraying, ink coating, or dip coating. Alternatively, the materials may be grown in situ. Depending on the specific block copolymer composition 200 to be used, the technique for depositing or growing the material may be selected by a person of ordinary skill in the art. While the block copolymer composition 200 described and illustrated herein may be formed as a layer, the materials are not limited thereto and may be formed in other three-dimensional configurations.


The block copolymer composition 200 may include a block copolymer material and an activatable catalyst having higher affinity for one block of the block copolymer material than the other block(s) of the block copolymer material. As a non-limiting example, the activatable catalyst may be a hydrophilic compound, and therefore has higher affinity to the more hydrophilic block than the less hydrophilic (i.e., less polar or non-polar) block of the block copolymer material.


In some embodiments, the block copolymer material may include a more polar block and a less polar block, wherein the activatable catalyst has a higher affinity for the more polar block of the block copolymer material relative to the less polar block. In some embodiments, the volume fraction of the more polar block and the less polar block in the block copolymer material may be from about 20:80 to about 50:50.


In some embodiments, the block copolymer material may be an amphiphilic block copolymer that includes at least one hydrophilic block and at least one hydrophilic block, wherein the activatable catalyst has a higher affinity for the hydrophilic block of the block copolymer material relative to the hydrophobic block.


By way of non-limiting examples, the block copolymer material may include poly(styrene)-b-poly(methylmethacrylate) (PS-b-PMMA), poly(styrene)-b-polyacrylate (PS-b-PA), poly(styrene)-b-poly(methacrylate) (PS-b-PMA), poly(styrene)-b-poly(vinylpyridine) (PS-b-PVP), poly(styrene)-b-poly(lactide) (PS-b-PLA), poly(styrene)-b-poly(tert-butyl acrylate) (PS-b-PtBA), poly(styrene)-b-poly(ethylene-co-butylene (PS-b-(PS-co-PB)), poly(styrene)-b-poly(ethylene oxide) (PS-b-PEO), poly(isoprene)-b-poly(ethyleneoxide) (PI-b-PEO), poly(isoprene)-b-poly(methylmethacrylate) (PI-b-PMMA), poly(butadiene)-b-poly(ethyleneoxide) (PBD-b-PEO), polystyrene-block-polydimethylsiloxane (PS-b-PDMS), polyethyleneoxide-b-polymethylmethacrylate (PEO-b-PMMA), polystyrene-b-poly(9,9-bis(6′-N,N,N-trimethylammonium)hexyl)-fluorene phenylene) (PS-b-PFP), polyethylethylene-b-polyethyleneoxide (PEE-b-PEO), polystyrene-b-polyisoprene (PS-b-PI), polystyrene-b-polybutadiene (PS-b-PBD), polystyrene-b-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-b-polyvinylpyridine (PBD-b-PVP), polyisoprene-b-polymethylmethacrylate (PI-b-PMMA), poly(styrene)-b-PEO block copolymer having a cleavable junction between PS and PEO blocks, poly(styrene)-b-poly(methylmethacrylate) doped with PEO-coated gold nanoparticles, poly(styrene)-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer having a cleavable junction, poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), poly(styrene-b-methyl methacrylate-b-styrene) (PS-PMMA-PS), poly(methyl methacrylate-b-styrene-b-methyl methacrylate) (PMMA-PS-PMMA), poly(styrene-b-poly(isoprene)-b-styrene) (PS-b-PI-b-PS), or combinations thereof.


The activatable catalyst may be activatable acid catalyst, activatable base catalyst, or a mixture thereof. By way of non-limiting examples, the activatable acid catalyst may be photo acid generator (PAG), thermal acid generator (TAG), or a mixture thereof. By way of non-limiting examples, the activatable base catalyst may be photo base generator (PBG), thermal base generator (TBG), or a mixture thereof. Non-limiting examples of PAGs may include iodonium sulfonate compounds, sulfonium sulfonate compounds, sulfonium triflate compounds, iodonium triflate compounds, iodonium phosphate compounds, imide sulfonate compounds, triazine compounds, diazodisulfone compounds, or a mixture thereof. Non-limiting examples of TAGs may include alkyl ester compounds, sulfonic ester compounds, organic sulfonic acid compounds, blocked alkyl phosphoric acid compounds, blocked perfluoralkyl sulfonic acid compounds, alkyl phosphoric acid/amine complexes, amine compounds, quaternary ammonium compounds, or combinations thereof. Non-limiting examples of PBGs may include sulfonamide compounds, carbamate compounds, O-acyloxime compounds, nifedipine compounds, formamide compounds, ammonium salts, amineimide compounds, alpha-aminoketone compounds, aromatic urea compounds, metallocene compounds, transition metal complexes, or a mixture thereof. Non-limiting examples of TBGs may include acyloxyimino compounds.


As the activatable catalyst has higher affinity for one block of the block copolymer material than the other block(s), the block copolymer material, upon exposure to conditions that cause self assembly of the block copolymer composition, may include activatable catalyst selectively in one block of the copolymer material and not in the other block(s).


The amount of activatable catalyst in the block copolymer composition may be varied depending on various factors, including, but are not limited to, the morphologies of the self-assembled domains of the block copolymer material, the chemical structures of the more polar blocks, the chemical structure of the activatable catalyst, the processing time and temperature, etc. As discussed in detailed hereinafter, the different morphologies of self-assembled domains have different volume fractions of the more and less polar blocks, and therefore different morphologies of self-assembled domains require different amounts of the activatable catalyst. In some embodiments, the amount of the activatable catalyst may not be more than about 20% by weight of the block copolymer composition. When the amount of the activatable catalyst in the composition is too high, the activatable catalyst may affix to the less polar block of the block copolymer material in addition to the more polar block, resulting in poor selectivity of the activatable catalyst for the desired domains of the self-assembled structure, and consequently poor fidelity of the nanostructures. On the contrary, when the amount of the activatable catalyst in the composition is too low, the formation of metal oxide in the self-assembled structure may be unacceptable low due to insufficient reaction of generated catalyst and the metal oxide precursor within reasonable processing conditions.


The block copolymer composition 200 in the trenches 103 may be subject to microphase separation to self-assemble the block copolymer composition into domains of a first block of the block copolymer material and domains of a second block, wherein the first block is more polar than the second block and includes the activatable catalyst. Microphase separation of the block copolymer composition may be achieved using any conventional process and therefore is not described in detail herein. In some embodiments, the block copolymer composition may be subject to annealing process to cause microphase separation. For way of non-limiting examples, the annealing process may include thermal annealing, solvent vapor-assisted annealing, supercritical fluid-assisted annealing, photo annealing, or a combination thereof.


Referring to FIG. 3, upon microphase separation, the block copolymer composition 200 self-assembles into domains 201 composed of a first block and an activatable catalyst in a matrix 202 composed of a second block of the block copolymer material, wherein the first block is more polar than the second block. In some embodiments, as shown in FIG. 3, the more polar block is a minor block and forms domains 201 within the matrix 202 of the less polar block, and the self-assembled structure has the activatable catalyst selectively in the minor domains 201 and not in the matrix 202. Alternatively, in some embodiments, the more polar block may be a majority block and form a matrix for domains of the less polar block, and the self-assembled structure has the activatable catalyst selectively in the matrix of the more polar block and not in the minor domains of the less polar block.


In some embodiments, as shown in FIGS. 1-6, the microphase separation of the block copolymer composition to form self-assembled nano-scale structures may be achieved by graphoepitaxy technique. The floor 104 and sidewalls 105 of the trench 103 guide self-assembly of the blocks of the block copolymer composition 200 to form self-assembled domains 201 including at least one polymer block within a matrix 202 of at least one different polymer block.


In some embodiments, the self-assembled nano-scale structures of the block copolymer composition may be achieved by chemoepitaxy technique, which will be discussed in FIGS. 13-17. In yet further embodiments, a combination of chemically and topographically patterned substrates may be used to direct the self-assembly of the block copolymer composition.


Various size and shape of the self-assembled domains may be formed from microphase separation of the block copolymer composition. By way of non-limiting examples, the self-assembled domains may have lamellar, cylindrical, half cylindrical, or spherical morphologies, among others. The size and shape of the self-assembled domains formed from microphase separation of the block copolymer composition may be controlled by various factors depending on the characteristics of the block copolymer material in the composition, as well as the wetting ability of the interface surfaces with the block copolymer composition. The molecular weight and volume fraction of the different polymer blocks of the block copolymer material may contribute to the size and shape of the self-assembled domains. For example, for volume fractions at ratios greater than about 80:20 of the two blocks (A:B) of a diblock AB copolymer, the block copolymer composition may microphase separate and self-assemble into spherical domains with spheres of block B surrounded by a matrix of block A. For volume fractions of the two blocks (A:B) between about 60:40 and about 80:20, the block copolymer composition may self-assemble into a single array or hexagonal array of cylinders of block B within a matrix of block A. For volume fractions of the two blocks (A:B) between about 50:50 and 60:40, alternating lamellar domains of the A and B blocks may be formed. In some embodiments, the size of self-assembled domains may be from about 5 nm to about 50 nm. Furthermore, the morphologies of the self-assembled block copolymer composition may be directed by the wetting abilities of the interface surfaces (e.g., the floor and sidewalls of the trench). As a non-limiting example, when the block copolymer composition is formed within the trench having a neutral wetting floor and preferentially wetting sidewalls, the block copolymer composition may self-assemble into alternating lamellar domains oriented perpendicular to the floor of the trench and parallel to the sidewalls, or cylindrical domains in a single array or hexagonal array perpendicular to the floor of the trench and parallel to the sidewalls. As a non-limiting example, when the block copolymer composition is formed within the trench having preferentially wetting floor and sidewalls, the block copolymer composition may self-assemble into half cylindrical domains oriented parallel to both the floor and sidewalls of the trench.


In FIG. 4, selected portions of the semiconductor structure 100 (i.e., area below line 300-300 in direction of “X”) may be subjected to activation energy to generate catalyst from the activatable catalyst in the selected portions. Thus, the self-assembled structure in the selected portions may include catalyst-containing domains 301 composed of the first block and the generated catalyst, and domains 302 composed of the second block of the block copolymer material. The domains 302 may be substantially the same as the matrix 202, since there is substantially no activatable catalyst in the matrix 202, and, consequently, no generated catalyst in the domains 302. The activatable catalyst in the non-activated portions of structure 100 is not subject to activation energy, and therefore no catalyst is generated from the activatable catalyst in the domains 201 of the non-activated portions of structure 100. Non-limiting examples of activation energy may include photo energy or thermal energy. The activation energy may be applied to only the selected portions of the semiconductor structure 100 using any conventional technique, which is not described in detail herein. By way of non-limiting examples, the technique may be a masking technique, direct application technique (i.e., without using mask), or a combination thereof. The selection of activation energy may be based on various factors including, but not limited to, the type of activatable catalyst (e.g., thermal or photo generated catalyst), the chemical structure of activatable catalyst, or the desirable rate of activation.



FIG. 5 shows the semiconductor structure 100 obtained after exposing the semiconductor structure 100 of FIG. 4 to a metal oxide precursor. The generated catalyst (e.g., acid or base) may react with the metal oxide precursor through a condensation reaction, resulting in a formation of metal oxide. Thus, the generated catalyst in the catalyst-containing domains 301 may react with the metal oxide precursor through a condensation reaction to form metal oxide, resulting in metal oxide-containing domains 400 that include the first block of the block copolymer material and metal oxide. As the domains 201, 302 and matrix 202 of the semiconductor structure 100 do not have the generated catalyst, no metal oxide is formed in these domains. Therefore, the metal oxide is selectively incorporated at the selected portions of the semiconductor structure 100 and at the selected time, by controlling the location and time for the application of the activation energy to the semiconductor structure 100.


Various known metal oxide precursors may be used. Non-limiting examples of metal oxide precursors may include metal alkoxide, metal chloride, metal β-diketonate, etc. A variety of metal oxides may be selectively permeated in the self-assembled structure. Non-limiting examples of metal for metal oxides may include titanium (Ti), aluminum (Al), zirconium (Zr), hafnium (Hf), or silicon (Si). The metal oxide precursor may be in gas phase, liquid phase, or solid form. In some embodiments, the metal oxide precursor is in gas phase.


In some embodiments, as shown in FIG. 6, the domains 201, 302 and matrix 202 of the semiconductor structure 100 of FIG. 5 may be removed, leaving the domain 400 that includes the first block and the metal oxide on the substrate 101. Since the domains 201, 302 and matrix 202 do not include the metal oxide, the domains 201, 302 and matrix 202 may be selectively removed using any conventional process, which is not described in detail herein. Thus, by incorporating the metal oxide into a portion of the block copolymer material, the etch selectivity of the block copolymer material may be increased.


A method of forming nanostructures may comprise forming a block copolymer composition within a trench in a material on a substrate, wherein the block copolymer composition may comprise a block copolymer material and an activatable catalyst having a higher affinity for a first block of the block copolymer material compared to a second block of the block copolymer material; self-assembling the block copolymer composition into first domains comprising the first block and the activatable catalyst, and second domains comprising the second block; generating catalyst from the activatable catalyst in at least one portion of the first domains to produce a structure comprising catalyst-containing domains and the second domains, the catalyst-containing domains comprising the first block and the catalyst; and reacting a metal oxide precursor with the catalyst in the catalyst-containing domains to produce a metal oxide-containing structure comprising the first block and metal oxide.


A self-assembled nanostructure may comprise first domains and second domains, wherein the first domains may comprise a first block of a block copolymer material and an activatable catalyst, and the second domains may comprise a second block of the block copolymer material and substantially without the activatable catalyst, and wherein the activatable catalyst is capable of generating catalyst upon application of activation energy, the catalyst capable of reacting with a metal oxide precursor to provide a metal oxide.


As discussed above, the formation of the metal oxide at certain portions of the self-assembled block copolymer structure may be accomplished by applying the activation energy to the selected portions of the structure to generate catalyst from the activatable catalyst at the selected portions, and the generated catalyst reacts with the metal oxide precursor to form metal oxide at the selected portions of the self-assembled block copolymer structure.



FIGS. 7-12A and 12B show various stages in the preparation of nanostructures from self-assembled block copolymer materials according to another embodiment of the present disclosure, wherein different metal oxides may be formed at different portions of the self-assembled block copolymer structure.



FIG. 7 shows the semiconductor structure 100 obtained by applying activation energy to the selected portion (i.e., area below the line 300-300 in direction of “X”) of the semiconductor structure 100 of FIG. 3 to generate catalyst from the activatable catalyst in the selected portions. As shown in FIG. 7, the selected portions of the self-assembled block copolymer structure include domains 301 composed of the first block and the generated catalyst, and domains 302 composed of the second block of the block copolymer material. The domains 302 may be substantially the same as the matrix 202, since there is substantially no activatable catalyst in the matrix 202. Therefore, the self-assembled block copolymer structure of FIG. 7 may include the generated catalyst selectively in the domains 301, and not in the domains 201, 302 and matrix 202.



FIG. 8 shows the semiconductor structure 100 obtained by exposing the semiconductor structure 100 of FIG. 7 to a first metal oxide precursor. The generated catalyst in the catalyst-containing domains 301 may react with the first metal oxide precursor through a condensation reaction to form the first metal oxide, resulting in metal oxide-containing domains 400 that include the first block of the block copolymer material and the first metal oxide. The semiconductor structure 100 of FIG. 8 having the first metal oxide selectively incorporated in the domains 400, and not in the domains 201, 302 and matrix 202 of the semiconductor structure.



FIG. 9 shows the semiconductor structure obtained by applying activation energy to a selected portion (i.e., the area below line 500-500 in direction of “X” but above the line 300-300) of the semiconductor structure 100 of FIG. 8 to generate catalyst from the activatable catalyst in the selected portions. As shown in FIG. 9, the selected portions of the self-assembled block copolymer structure may include catalyst-containing domains 502 composed of the first block and the generated catalyst, and matrix 202 composed of the second block of the block copolymer material. The matrix 202 of the selected areas may remain substantially unchanged, since there is substantially no activatable catalyst in the matrix 202. Therefore, the self-assembled block copolymer structure of FIG. 9 may include generated acid or base selectively in the catalyst-containing domains 502, and not in the domains 201 and matrix 202.



FIG. 10 shows the semiconductor structure 100 obtained by exposing the semiconductor structure 100 of FIG. 9 to a second metal oxide precursor. The catalyst in the catalyst-containing domains 502 of FIG. 9 may react with the second metal oxide precursor to provide the semiconductor structure 100 of FIG. 10 having the second metal oxide formed selectively in the metal oxide-containing domains 602, and not in the domains 400, 201 and matrix 202 of the semiconductor structure.



FIG. 11 shows the semiconductor structure 100 having domains 700 composed of a third metal oxide. Upon application of activation energy to the semiconductor structure 100 of FIG. 10, catalyst may be generated from the activatable catalyst in the domains 201 of FIG. 10. Consequently, upon exposure to a third metal oxide precursor, the catalyst in the domains 201 may react with the third metal oxide precursor to form the third metal oxide selectively in the area above line 600-600 in the direction of “X” of the domains 700 of the semiconductor structure 100 of FIG. 11.


In some embodiments, as shown in FIG. 12A, the matrix 202 of the semiconductor structure 100 of FIG. 11 may be removed, leaving the domains 400 including the first block and the first metal oxide, the domains 602 including the first block and the second metal oxide, and the domains 700 including the first block and the third metal oxide on the substrate 101. The matrix 202 may be removed using any conventional process, and therefore is not described in detail herein.


In some embodiments, as shown in FIG. 12B, the block copolymer material in the domains 400, 602, 700 and matrix 202 in the semiconductor structure 100 of FIG. 11 may be removed, leaving ordered nanostructures of the first metal oxide 402, the second metal oxide 604, and third metal oxide 702 on the substrate 101. The block copolymer material in the domains 400, 602, 700 and matrix 202 may be removed using any conventional process, and therefore is not described in detail herein.


A semiconductor structure may comprise a self-assembled nanostructure on a substrate, the self-assembled nanostructure comprising self-assembled domains in a matrix, wherein the self-assembled domains may comprise at least a first portion and a second portion, the first portion comprising a first block of a block copolymer material and a first metal oxide, the second portion comprising the first block of the block copolymer material and a second metal oxide, and wherein the matrix may comprise a second block of the block copolymer material and substantially without any metal oxide.


Although FIGS. 1-12B show that the block copolymer composition self-assembles through the graphoepitaxy technique, it is understood that the block copolymer composition may self-assemble through the chemoepitaxy technique or other techniques. Furthermore, although FIGS. 1-12B show the nanostructures in straight line features, it is understood that the nanostructures may have any other desired structures. Non-limiting examples of other structures may include zig-zag lines, curved lines, or a 1-3-1 line pattern.



FIGS. 13-17A and 17B show various stages in the preparation of nanostructures from self-assembled block copolymer material selectively permeated with metal oxides according to an embodiment of the present disclosure, wherein the block copolymer material self-assembles through chemoepitaxy technique.



FIG. 13 shows a chemically patterned material 110′ that includes a substrate 101′, portions 102a, and portions 102b, wherein the portions 102a are chemically different from the portions 102b. The portions 102b may have greater affinity to at least one polymer block of the block copolymer composition and be used to direct the self-assembly of the block copolymer composition.


In FIG. 14, the block copolymer composition 200′ is formed on the portions 102a, 102b of the patterned material 110′. The block copolymer composition 200′ includes a block copolymer material and an activatable catalyst having a higher affinity to a more polar block of the block copolymer material than a less polar block of the block copolymer material. The portions 102b of the patterned material 110′ have chemical specificity to the more polar blocks of the block copolymer composition; whereas, the portions 102a of patterned material 110′ have chemical specificity to the less polar blocks of the block copolymer composition.


Upon subjecting a semiconductor structure 100′, of FIG. 14, to microphase separation, the portions 102a, 102b of the patterned material 110′ direct the chemoepitaxy self-assembly of the block copolymer composition 200′ to provide the semiconductor structure 100′ of FIG. 15. The structure of FIG. 15 includes domains 202a over the portions 102a of patterned material 110′, and domains 202b over the portions 102b of patterned material 110′. The domains 202b may include the more polar block and the activatable catalyst. The domains 202a may include the less polar block of the block copolymer material, and are substantially lacking the activatable catalyst.



FIG. 16 shows a semiconductor structure 100′ obtained by applying activation energy to selected portions of the semiconductor structure 100′ of FIG. 15 to generate catalyst from the activatable catalyst in the selected portions. The semiconductor structure 100′ of FIG. 16 may include domains 301′ composed of the more polar block and the catalyst generated from the activatable catalyst, and domains 202a composed of the less polar block of the block copolymer material. The domains 202a remain unchanged after the application of activation energy, since there is substantially no activatable catalyst in the domains 202a. The activatable catalyst in the non-activated portions (i.e., not subjected to the activation energy) remains unactivated, and therefore there is no catalyst generated in the domains 202b. The activation energy may be selectively applied to the desired portions of the semiconductor structure 100′ using any conventional technique, and therefore is not described in detail herein.


The semiconductor structure 100′ of FIG. 16 may be exposed to a metal oxide precursor to provide the semiconductor structure 100′ of FIG. 17A. The catalyst in the domain 301′ may react with the metal oxide precursor to form self-assembled block copolymer domains 400′ composed of the more polar block of the block copolymer material and metal oxide. There is substantially no metal oxide formed in domains 202a and 202b, since the domains 202a have substantially no activatable catalyst, and the domains 202b have substantially no generated catalyst.


A method of forming nanostructures may comprise forming a block copolymer composition on a patterned material, wherein the block copolymer composition may comprise a block copolymer material and an activatable catalyst having higher affinity for a first block of the block copolymer material compared to a second block; self-assembling the block copolymer composition into a structure comprising domains of the first block and the activatable catalyst in a matrix of the second block; applying an activation energy to a first portion of the domains of the first block and the activatable catalyst to produce a catalyst in the first portion of the domains; and exposing the structure to a first metal oxide precursor to form a first metal oxide in the first portion of the domains.


In some embodiments, the domains 202a, 202b in the semiconductor structure 100′ of FIG. 17A may be removed, leaving self-assembled block copolymer domains 400′ composed of the more polar block of the block copolymer material and metal oxide on the patterned material 110′. The domains 202a and 202b may be removed using any conventional process, and therefore is not described in detail herein.


In some embodiments, as shown in FIG. 17B, the block copolymer material in the domains 202a, 202b and 400′ in the semiconductor structure 100′ of FIG. 17A may be removed, leaving ordered nanostructures 402′ composed of metal oxide on the patterned material 110′. The block copolymer material in the domains 202a, 202b and 400′ may be removed using any conventional process, and therefore is not described in detail herein. The nanostructures 402′ may be subject to further processing steps to form nano-scale features for the semiconductor devices.


The semiconductor structures 100, 100′ of FIGS. 6, 12A, 12B, 17A and 17B may be subjected to further processing for fabrication of a semiconductor device. In some embodiments, the semiconductor structures may be further processed by conventional techniques to form nano-scale etch masks having dimensions of less than 50 nm with improved pattern fidelity (line edge and roughness). In some embodiments, the semiconductor structures, such as those shown in FIGS. 12B and 17B, may be further processed by conventional techniques to form nano-scale metal routing or nano-scaled metal features for fabrication of a semiconductor device.


The method of forming nanostructures may provide nano-scale etch masks with improved pattern fidelity in terms of line edge and roughness, and substantially without undesirable random curvature, thereby minimizing, if not eliminating, the need of removing random curvature.


While the present disclosure is susceptible to various modifications and alternative forms, specific embodiments have been shown by way of example in the drawings and have been described in detail herein. However, the present disclosure is not intended to be limited to the particular forms disclosed. Rather, the present disclosure is to cover all modifications, equivalents, and alternatives falling within the scope of the present disclosure as defined by the following appended claims and their legal equivalents.

Claims
  • 1. A self-assembled nanostructure comprising first domains and second domains extending along a first horizontal direction and a second horizontal direction, the second horizontal direction orthogonal to the first horizontal direction, the first domains comprising a first block of a block copolymer material and a metal oxide, the second domains consisting of a second block of the block copolymer material, and each of the first domains exhibiting different lengths, the different lengths along the first horizontal direction.
  • 2. The self-assembled nanostructure of claim 1, wherein the block copolymer material comprises an amphiphilic block copolymer, and the self-assembled nanostructure comprises hydrophilic domains and hydrophobic domains, the hydrophilic domains comprising a hydrophilic block of the amphiphilic block copolymer material, the hydrophobic domains comprising a hydrophobic block and without the metal oxide.
  • 3. The self-assembled nanostructure of claim 1, wherein the block copolymer material comprises a more polar block and a less polar block, and wherein the self-assembled nanostructure comprises more polar domains and less polar domains, the more polar domains comprising the more polar block and the metal oxide, the less polar domains comprising the less polar block and without the metal oxide.
  • 4. A semiconductor structure comprising a self-assembled nanostructure on a patterned material, the self-assembled nanostructure comprising: first self-assembled domains and second self-assembled domains, each of the first self-assembled domains comprising at least a first portion and a second portion continuous with the first portion, the first portion comprising a first block of a block copolymer material and a first metal oxide, the second portion comprising the first block of the block copolymer material and a second metal oxide, the second metal oxide comprising a different metal than the first metal oxide, andthe second self-assembled domains comprising a second block of the block copolymer material and without any metal oxide.
  • 5. The semiconductor structure of claim 4, wherein the self-assembled nanostructure comprises alternating first and second self-assembled lamellar domains perpendicular to the patterned material, the first self-assembled lamellar domains comprising the first block of the block copolymer material and the first metal oxide, and the second self-assembled lamellar domains comprising the second block of the block copolymer material and without any metal oxide.
  • 6. The semiconductor structure of claim 4, wherein the first self-assembled domains further comprises a third portion, the third portion comprising the first block of a block copolymer material and a third metal oxide.
  • 7. The semiconductor structure of claim 6, wherein each of the first, second, and third metal oxides is independently selected from the group consisting of titanium oxide, aluminum oxide, zirconium oxide, hafnium oxide, silicon oxide, and combinations thereof.
  • 8. The semiconductor structure of claim 4, wherein the patterned material is a topographically patterned material, a chemically patterned material, or a combination thereof.
  • 9. A semiconductor structure comprising a self-assembled nanostructure on a material, the self-assembled nanostructure comprising self-assembled domains in a matrix, the self-assembled domains comprising at least a first portion and a second portion, the first portion comprising a first block of a block copolymer material and a first metal oxide, the second portion comprising the first block of the block copolymer material and a second metal oxide, each self-assembled domain of the self-assembled domains comprising the first portion and the second portion in contact with one another, metals of the first metal oxide and the second metal oxide being different, and the matrix comprising a second block of the block copolymer material and without any metal oxide.
  • 10. The semiconductor structure of claim 9, wherein the block copolymer material is selected from the group consisting of poly(styrene)-b-poly(methylmethacrylate) (PS-b-PMMA), poly(styrene)-b-polyacrylate (PS-b-PA), poly(styrene)-b-poly(methacrylate) (PS-b-PMA), poly(styrene)-b-poly(vinylpyridine) (PS-b-PVP), poly(styrene)-b-poly(lactide) (PS-b-PLA), poly(styrene)-b-poly(tert-butyl acrylate) (PS-b-PtBA), poly(styrene)-b-poly(ethylene-co-butylene (PS-b-(PS-co-PB)), poly(styrene)-b-poly(ethylene oxide) (PS-b-PEO), poly(isoprene)-b-poly(ethyleneoxide) (PI-b-PEO), poly(isoprene)-b-poly(methylmethacrylate) (PI-b-PMMA), poly(butadiene)-b-poly(ethyleneoxide) (PBD-b-PEO), polystyrene-block-polydimethylsiloxane (PS-b-PDMS), polyethyleneoxide-b-polymethylmethacrylate (PEO-b-PMMA), polystyrene-b-poly(9,9-bis(6′,N,N,N-trimethylammonium)hexyl)-fluorene phenylene) (PS-b-PFP), polyethylethylene-b-polyethyleneoxide (PEE-b-PEO), polystyrene-b-polyisoprene (PS-b-PI), polystyrene-b-polybutadiene (PS-b-PBD), polystyrene-b-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-b-polyvinylpyridine (PBD-b-PVP), poly(styrene)-b-PEO block copolymer having a cleavable junction between PS and PEO blocks, poly(styrene)-b-poly(methylmethacrylate) doped with PEO-coated gold nanoparticles, poly(styrene)-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer having a cleavable junction, polystyrene-h-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), poly(styrene-b-methyl methacrylate-b-styrene) (PS-PMMA-PS), poly(methyl methacrylate-b-styrene-b-methyl methacrylate) (PMMA-PS-PMMA), poly(styrene-b-poly(isoprene)-b-styrene) (PS-b-PI-b-PS), and combinations thereof.
  • 11. The semiconductor structure of claim 9, wherein a metal of the first metal oxide comprises one of titanium (Ti), aluminum (Al), zirconium (Zr), hafnium (Hf), silicon (Si), and combinations thereof and a metal of the second metal comprises another of titanium (Ti), aluminum (Al), zirconium (Zr), hafnium (Hf), silicon (Si), and combinations thereof.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of U.S. patent application Ser. No. 14/920,018, filed Oct. 22, 2015, now U.S. Pat. No. 10,049,874, issued Aug. 14, 2018, which is a divisional of U.S. patent application Ser. No. 14/040,245, filed Sep. 27, 2013, now U.S. Pat. No. 9,177,795, issued Nov. 3, 2015, the disclosure of each of which is hereby incorporated herein in its entirety by this reference.

US Referenced Citations (415)
Number Name Date Kind
4623674 Bailey, Jr. Nov 1986 A
4797357 Mura et al. Jan 1989 A
4818713 Feygenson Apr 1989 A
4877647 Klabunde Oct 1989 A
5328810 Lowrey et al. Jul 1994 A
5374367 Edamura et al. Dec 1994 A
5382373 Carlson et al. Jan 1995 A
5482656 Hiraoka et al. Jan 1996 A
5512131 Kumar et al. Apr 1996 A
5538655 Fauteux et al. Jul 1996 A
5580700 Rahman Dec 1996 A
5620850 Bamdad et al. Apr 1997 A
5622668 Thomas Apr 1997 A
5772905 Chou Jun 1998 A
5834583 Hancock et al. Nov 1998 A
5849810 Müeller Dec 1998 A
5866297 Barjesteh et al. Feb 1999 A
5879582 Havelka et al. Mar 1999 A
5879853 Azuma Mar 1999 A
5891356 Inoue et al. Apr 1999 A
5904824 Oh May 1999 A
5925259 Biebuyck et al. Jul 1999 A
5948470 Harrison et al. Sep 1999 A
5958704 Starzl et al. Sep 1999 A
6051869 Pan et al. Apr 2000 A
6111323 Carter et al. Aug 2000 A
6143647 Pan et al. Nov 2000 A
6153495 Kub et al. Nov 2000 A
6207787 Fahey et al. Mar 2001 B1
6251791 Tsai et al. Jun 2001 B1
6270946 Miller Aug 2001 B1
6309580 Chou Oct 2001 B1
6310138 Yonezawa et al. Oct 2001 B1
6312971 Amundson et al. Nov 2001 B1
6368871 Christel et al. Apr 2002 B1
6403382 Zhu et al. Jun 2002 B1
6414164 Afzali-Ardakani et al. Jul 2002 B1
6423465 Hawker et al. Jul 2002 B1
6423474 Holscher Jul 2002 B1
6503841 Criscuolo Jan 2003 B1
6506660 Holmes et al. Jan 2003 B2
6517933 Soane et al. Feb 2003 B1
6518194 Winningham et al. Feb 2003 B2
6537920 Krivokapic Mar 2003 B1
6548830 Noguchi et al. Apr 2003 B1
6565763 Asakawa May 2003 B1
6565764 Hiraoka et al. May 2003 B2
6566248 Wang et al. May 2003 B1
6569528 Nam et al. May 2003 B2
6573030 Fairbairn et al. Jun 2003 B1
6592764 Stucky et al. Jul 2003 B1
6630520 Bruza et al. Oct 2003 B1
6635912 Ohkubo Oct 2003 B2
6656308 Hougham et al. Dec 2003 B2
6679996 Yao Jan 2004 B1
6682660 Sucholeiki et al. Jan 2004 B2
6689473 Guire et al. Feb 2004 B2
6699797 Morris et al. Mar 2004 B1
6713238 Chou et al. Mar 2004 B1
6746825 Nealey et al. Jun 2004 B2
6767693 Okoroanyanwu Jul 2004 B1
6780492 Hawker et al. Aug 2004 B2
6781166 Lieber et al. Aug 2004 B2
6797202 Endo et al. Sep 2004 B2
6809210 Chandross et al. Oct 2004 B2
6812132 Ramachandrarao et al. Nov 2004 B2
6825358 Afzali-Ardakani et al. Nov 2004 B2
6884842 Soane et al. Apr 2005 B2
6887332 Kagan et al. May 2005 B1
6890624 Kambe et al. May 2005 B1
6890703 Hawker et al. May 2005 B2
6908861 Sreenivasan et al. Jun 2005 B2
6911400 Colburn et al. Jun 2005 B2
6913697 Lopez et al. Jul 2005 B2
6924341 Mays Aug 2005 B2
6926953 Nealey et al. Aug 2005 B2
6940485 Noolandi Sep 2005 B2
6946332 Loo et al. Sep 2005 B2
6949456 Kumar Sep 2005 B2
6952436 Wirnsberger et al. Oct 2005 B2
6957608 Hubert et al. Oct 2005 B1
6962823 Empedocles et al. Nov 2005 B2
6989426 Hu et al. Jan 2006 B2
6992115 Hawker et al. Jan 2006 B2
6995439 Hill et al. Feb 2006 B1
6998152 Uhlenbrock Feb 2006 B2
7001795 Jiang et al. Feb 2006 B2
7009227 Patrick et al. Mar 2006 B2
7030495 Colburn et al. Apr 2006 B2
7037738 Sugiyama et al. May 2006 B2
7037744 Colburn et al. May 2006 B2
7045851 Black et al. May 2006 B2
7056455 Matyjaszewski et al. Jun 2006 B2
7056849 Wan et al. Jun 2006 B2
7060774 Sparrowe et al. Jun 2006 B2
7066801 Balijepalli et al. Jun 2006 B2
7077992 Sreenivasan et al. Jul 2006 B2
7087267 Breen et al. Aug 2006 B2
7090784 Asakawa et al. Aug 2006 B2
7112617 Kim et al. Sep 2006 B2
7115305 Bronikowski et al. Oct 2006 B2
7115525 Abatchev et al. Oct 2006 B2
7115995 Wong Oct 2006 B2
7118784 Xie Oct 2006 B1
7119321 Quinlan Oct 2006 B2
7132370 Paraschiv Nov 2006 B2
7135144 Christel et al. Nov 2006 B2
7135241 Ferraris et al. Nov 2006 B2
7135388 Ryu et al. Nov 2006 B2
7135523 Ho et al. Nov 2006 B2
7151209 Empedocles et al. Dec 2006 B2
7163712 Chilkoti et al. Jan 2007 B2
7166304 Harris et al. Jan 2007 B2
7172953 Lieber et al. Feb 2007 B2
7186613 Kimer et al. Mar 2007 B2
7189430 Ajayan et al. Mar 2007 B2
7189435 Tuominen et al. Mar 2007 B2
7190049 Tuominen et al. Mar 2007 B2
7195733 Rogers et al. Mar 2007 B2
7202308 Boussand et al. Apr 2007 B2
7208836 Manning Apr 2007 B2
7252791 Wasserscheid et al. Aug 2007 B2
7259101 Zurcher et al. Aug 2007 B2
7279396 Derderian et al. Oct 2007 B2
7282240 Jackman et al. Oct 2007 B1
7291284 Mirkin et al. Nov 2007 B2
7311943 Jacobson et al. Dec 2007 B2
7326514 Dai et al. Feb 2008 B2
7332370 Chang et al. Feb 2008 B2
7332627 Chandross et al. Feb 2008 B2
7338275 Choi et al. Mar 2008 B2
7347953 Black et al. Mar 2008 B2
7368314 Ufert May 2008 B2
7407887 Guo Aug 2008 B2
7408186 Merkulov et al. Aug 2008 B2
7419772 Watkins et al. Sep 2008 B2
7470954 Lee et al. Dec 2008 B2
7514339 Yang et al. Apr 2009 B2
7521090 Cheng et al. Apr 2009 B1
7553760 Yang et al. Jun 2009 B2
7569855 Lai Aug 2009 B2
7585741 Manning Sep 2009 B2
7592247 Yang et al. Sep 2009 B2
7605081 Yang et al. Oct 2009 B2
7632544 Ho et al. Dec 2009 B2
7655383 Mela et al. Feb 2010 B2
7658773 Pinnow Feb 2010 B2
7700157 Bronikowski et al. Apr 2010 B2
7723009 Sandhu et al. May 2010 B2
7767099 Li et al. Aug 2010 B2
7799416 Chan et al. Sep 2010 B1
7888228 Blanchard Feb 2011 B2
7959975 Millward Jun 2011 B2
7964107 Millward Jun 2011 B2
8039196 Kim et al. Oct 2011 B2
8080615 Millward Dec 2011 B2
8083953 Millward et al. Dec 2011 B2
8083958 Li et al. Dec 2011 B2
8097175 Millward et al. Jan 2012 B2
8101261 Millward et al. Jan 2012 B2
8114300 Millward Feb 2012 B2
8114301 Millward et al. Feb 2012 B2
8114306 Cheng et al. Feb 2012 B2
8206601 Bosworth Jun 2012 B2
8287749 Hasegawa et al. Oct 2012 B2
8294139 Marsh et al. Oct 2012 B2
8372295 Millward Feb 2013 B2
8394483 Millward Mar 2013 B2
8404124 Millward et al. Mar 2013 B2
8409449 Millward et al. Apr 2013 B2
8425982 Regner Apr 2013 B2
8426313 Millward et al. Apr 2013 B2
8445592 Millward et al. May 2013 B2
8455082 Millward Jun 2013 B2
8512846 Millward Aug 2013 B2
8513359 Millward Aug 2013 B2
8518275 Millward et al. Aug 2013 B2
8551808 Marsh et al. Oct 2013 B2
8557128 Millward Oct 2013 B2
8609221 Millward et al. Dec 2013 B2
8633112 Millward et al. Jan 2014 B2
8641914 Regner Feb 2014 B2
8642157 Millward et al. Feb 2014 B2
8669645 Millward et al. Mar 2014 B2
8753738 Millward et al. Jun 2014 B2
8784974 Millward Jul 2014 B2
8785559 Millward Jul 2014 B2
8801894 Millward Aug 2014 B2
8808557 Seino et al. Aug 2014 B1
8900963 Sills et al. Dec 2014 B2
8956713 Millward Feb 2015 B2
8993088 Millward Mar 2015 B2
8999492 Millward et al. Apr 2015 B2
9087699 Millward Jul 2015 B2
9229328 Khurana et al. Jan 2016 B2
9276059 Millward et al. Mar 2016 B2
20010024768 Matsuo et al. Sep 2001 A1
20010049195 Chooi et al. Dec 2001 A1
20020055239 Tuominen et al. May 2002 A1
20020084429 Craighead et al. Jul 2002 A1
20020158342 Tuominen et al. Oct 2002 A1
20020158432 Wain Oct 2002 A1
20020167117 Chou Nov 2002 A1
20030010241 Fujihira et al. Jan 2003 A1
20030034329 Chou Feb 2003 A1
20030068639 Haneder et al. Apr 2003 A1
20030077452 Guire et al. Apr 2003 A1
20030080471 Chou May 2003 A1
20030080472 Chou May 2003 A1
20030091752 Nealey et al. May 2003 A1
20030100822 Lew et al. May 2003 A1
20030108879 Klaemer et al. Jun 2003 A1
20030143375 Noguchi et al. Jul 2003 A1
20030157248 Watkins et al. Aug 2003 A1
20030178707 Abbott Sep 2003 A1
20030180522 DeSimone et al. Sep 2003 A1
20030180966 Abbott et al. Sep 2003 A1
20030185741 Matyjaszewski Oct 2003 A1
20030196748 Hougham et al. Oct 2003 A1
20030218644 Huguchi et al. Nov 2003 A1
20030222048 Asakawa et al. Dec 2003 A1
20030235930 Bao et al. Dec 2003 A1
20040023287 Harnack et al. Feb 2004 A1
20040028875 Van Rijn et al. Feb 2004 A1
20040058059 Linford et al. Mar 2004 A1
20040076757 Jacobsen et al. Apr 2004 A1
20040084298 Yao et al. May 2004 A1
20040109263 Suda et al. Jun 2004 A1
20040124092 Black et al. Jul 2004 A1
20040125266 Miyauchi et al. Jul 2004 A1
20040127001 Colburn Jul 2004 A1
20040142578 Wiesner et al. Jul 2004 A1
20040159633 Whitesides et al. Aug 2004 A1
20040163758 Kagan et al. Aug 2004 A1
20040175628 Nealey Sep 2004 A1
20040192013 Ryu et al. Sep 2004 A1
20040222415 Chou Nov 2004 A1
20040242688 Chandross et al. Dec 2004 A1
20040254317 Hu Dec 2004 A1
20040256615 Sirringhaus et al. Dec 2004 A1
20040256662 Black et al. Dec 2004 A1
20040265548 Ho et al. Dec 2004 A1
20050008828 Libera et al. Jan 2005 A1
20050062165 Saenger et al. Mar 2005 A1
20050074706 Bristol Apr 2005 A1
20050079486 Abbott et al. Apr 2005 A1
20050100830 Xu et al. May 2005 A1
20050120902 Adams et al. Jun 2005 A1
20050124135 Ayazi et al. Jun 2005 A1
20050133697 Potyrailo et al. Jun 2005 A1
20050147841 Favkhelidze et al. Jul 2005 A1
20050159293 Wan et al. Jul 2005 A1
20050167651 Merkulov et al. Aug 2005 A1
20050176256 Kudelka Aug 2005 A1
20050208752 Colburn et al. Sep 2005 A1
20050238889 Iwamoto Oct 2005 A1
20050238967 Rogers et al. Oct 2005 A1
20050250053 Marsh et al. Nov 2005 A1
20050271805 Kambe et al. Dec 2005 A1
20050272341 Colburn et al. Dec 2005 A1
20060013956 Angelescu et al. Jan 2006 A1
20060014001 Zhang et al. Jan 2006 A1
20060014083 Carlson Jan 2006 A1
20060024590 Sandhu Feb 2006 A1
20060030495 Gregg, Jr. Feb 2006 A1
20060035387 Wagner et al. Feb 2006 A1
20060038182 Rogers et al. Feb 2006 A1
20060046079 Lee Mar 2006 A1
20060046480 Guo Mar 2006 A1
20060046484 Abatchev et al. Mar 2006 A1
20060060863 Lu et al. Mar 2006 A1
20060062867 Choi Mar 2006 A1
20060078681 Hieda et al. Apr 2006 A1
20060097134 Rhodes May 2006 A1
20060105562 Yi May 2006 A1
20060124467 Ho et al. Jun 2006 A1
20060128165 Theiss et al. Jun 2006 A1
20060134556 Nealey et al. Jun 2006 A1
20060137554 Kron et al. Jun 2006 A1
20060141222 Fischer et al. Jun 2006 A1
20060141245 Stellacci et al. Jun 2006 A1
20060154466 Lee et al. Jul 2006 A1
20060163646 Black Jul 2006 A1
20060192283 Benson Aug 2006 A1
20060205875 Cha et al. Sep 2006 A1
20060211871 Dai et al. Sep 2006 A1
20060217285 Destarac Sep 2006 A1
20060228635 Suleski Oct 2006 A1
20060231525 Asakawa et al. Oct 2006 A1
20060249784 Black et al. Nov 2006 A1
20060249796 Tavkhelidze et al. Nov 2006 A1
20060254440 Choi et al. Nov 2006 A1
20060255505 Sandhu et al. Nov 2006 A1
20060257633 Inoue et al. Nov 2006 A1
20060258159 Colburn et al. Nov 2006 A1
20060278158 Tolbert et al. Dec 2006 A1
20060281266 Wells Dec 2006 A1
20060286305 Thies et al. Dec 2006 A1
20060286490 Sandhu et al. Dec 2006 A1
20060292777 Dunbar Dec 2006 A1
20070020749 Nealey et al. Jan 2007 A1
20070023247 Ulicny et al. Feb 2007 A1
20070023805 Wells Feb 2007 A1
20070045562 Parekh Mar 2007 A1
20070045642 Li Mar 2007 A1
20070071881 Chua et al. Mar 2007 A1
20070072403 Sakata Mar 2007 A1
20070122749 Fu et al. May 2007 A1
20070122932 Kodas et al. May 2007 A1
20070138131 Burdinski Jun 2007 A1
20070161237 Lieber et al. Jul 2007 A1
20070175859 Black et al. Aug 2007 A1
20070181870 Libertino et al. Aug 2007 A1
20070183035 Asakawa et al. Aug 2007 A1
20070194403 Cannon et al. Aug 2007 A1
20070200477 Tuominen et al. Aug 2007 A1
20070208159 McCloskey et al. Sep 2007 A1
20070218202 Ajayan et al. Sep 2007 A1
20070222995 Lu Sep 2007 A1
20070224819 Sandhu Sep 2007 A1
20070224823 Sandhu Sep 2007 A1
20070227383 Deere et al. Oct 2007 A1
20070249117 Kang et al. Oct 2007 A1
20070272951 Lieber et al. Nov 2007 A1
20070281220 Sandhu et al. Dec 2007 A1
20070289943 Lu et al. Dec 2007 A1
20070293041 Yang et al. Dec 2007 A1
20080032238 Lu et al. Feb 2008 A1
20080038467 Jagannathan et al. Feb 2008 A1
20080038923 Edelstein et al. Feb 2008 A1
20080041818 Kihara et al. Feb 2008 A1
20080047930 Blanchet et al. Feb 2008 A1
20080064217 Horii Mar 2008 A1
20080073743 Mizadeh et al. Mar 2008 A1
20080078982 Min Apr 2008 A1
20080078999 Lai Apr 2008 A1
20080083991 Yang et al. Apr 2008 A1
20080085601 Park et al. Apr 2008 A1
20080093743 Yang et al. Apr 2008 A1
20080102252 Black et al. May 2008 A1
20080103256 Kim et al. May 2008 A1
20080113169 Cha et al. May 2008 A1
20080164558 Yang et al. Jul 2008 A1
20080174726 Kim Jul 2008 A1
20080176767 Millward Jul 2008 A1
20080191200 Frisbie et al. Aug 2008 A1
20080193658 Millward Aug 2008 A1
20080217292 Millward et al. Sep 2008 A1
20080233297 de Jong et al. Sep 2008 A1
20080233323 Cheng et al. Sep 2008 A1
20080241218 McMorrow Oct 2008 A1
20080257187 Millward Oct 2008 A1
20080260941 Jin Oct 2008 A1
20080274413 Millward Nov 2008 A1
20080286659 Millward Nov 2008 A1
20080311347 Millward et al. Dec 2008 A1
20080315270 Marsh Dec 2008 A1
20080318005 Millward Dec 2008 A1
20090020924 Lin Jan 2009 A1
20090062470 Millward et al. Mar 2009 A1
20090087664 Nealey et al. Apr 2009 A1
20090148795 Li et al. Jun 2009 A1
20090155579 Greco et al. Jun 2009 A1
20090173920 Kang et al. Jul 2009 A1
20090196488 Nealey Aug 2009 A1
20090200646 Millward et al. Aug 2009 A1
20090206489 Li et al. Aug 2009 A1
20090212016 Cheng et al. Aug 2009 A1
20090218567 Mathew et al. Sep 2009 A1
20090236309 Millward Sep 2009 A1
20090240001 Regner Sep 2009 A1
20090263628 Millward Oct 2009 A1
20090267058 Namdas et al. Oct 2009 A1
20090274887 Millward et al. Nov 2009 A1
20090317540 Sandhu et al. Dec 2009 A1
20100092873 Sills et al. Apr 2010 A1
20100102415 Millward et al. Apr 2010 A1
20100124826 Millward et al. May 2010 A1
20100137496 Millward et al. Jun 2010 A1
20100150510 Sato Jun 2010 A1
20100163180 Millward Jul 2010 A1
20100204402 Millward et al. Aug 2010 A1
20100279062 Millward Nov 2010 A1
20100316849 Millward et al. Dec 2010 A1
20100323096 Sills et al. Dec 2010 A1
20110232515 Millward Sep 2011 A1
20110250745 Millward Oct 2011 A1
20120028471 Oyama et al. Feb 2012 A1
20120076978 Millward et al. Mar 2012 A1
20120122292 Sandhu et al. May 2012 A1
20120133017 Millward et al. May 2012 A1
20120135146 Cheng May 2012 A1
20120135159 Xiao et al. May 2012 A1
20120138570 Millward et al. Jun 2012 A1
20120141741 Millward Jun 2012 A1
20120164389 Yang et al. Jun 2012 A1
20120202017 Nealey et al. Aug 2012 A1
20120211871 Russell et al. Aug 2012 A1
20120223051 Millward Sep 2012 A1
20120223052 Regner Sep 2012 A1
20120223053 Millward et al. Sep 2012 A1
20120225243 Millward Sep 2012 A1
20130105755 Sills May 2013 A1
20130189492 Millward et al. Jul 2013 A1
20130285214 Millward et al. Oct 2013 A1
20130295323 Millward Nov 2013 A1
20130330668 Hedrick et al. Dec 2013 A1
20130330688 Hedrick et al. Dec 2013 A1
20140060736 Millward et al. Mar 2014 A1
20140097520 Millward Apr 2014 A1
20140127626 Senzaki et al. May 2014 A1
20140272723 Somervell et al. Sep 2014 A1
20150021293 Morris et al. Jan 2015 A1
20150091137 Hendricks et al. Apr 2015 A1
20150191034 Millward et al. Jul 2015 A1
Foreign Referenced Citations (65)
Number Date Country
1562730 Jan 2005 CN
1799131 Jul 2006 CN
101013662 Aug 2007 CN
784543 Apr 2000 EP
1416303 May 2004 EP
1906237 Feb 2008 EP
1593164 Jun 2010 EP
11080414 Mar 1999 JP
2003155365 May 2003 JP
2004335962 Nov 2004 JP
2005029779 Mar 2005 JP
2005008882 Jul 2005 JP
2006036923 Feb 2006 JP
2006055982 Mar 2006 JP
2006110434 Apr 2006 JP
2007194175 Aug 2007 JP
2008036491 Feb 2008 JP
2008043873 Feb 2008 JP
1020060128378 Dec 2006 KR
1020070029762 Mar 2007 KR
100771886 Nov 2007 KR
200400990 Mar 1992 TW
200633925 Oct 1994 TW
200740602 Jan 1996 TW
200802421 Feb 1996 TW
584670 Apr 2004 TW
200419017 Oct 2004 TW
200511364 Mar 2005 TW
I256110 Jun 2006 TW
253456 Nov 2007 TW
90007575 Jul 1990 WO
9706013 Feb 1997 WO
9839645 Sep 1998 WO
9947570 Sep 1999 WO
0002090 Jan 2000 WO
0031183 Jun 2000 WO
0218080 Mar 2002 WO
02081372 Oct 2002 WO
03045840 Jun 2003 WO
2005122285 Dec 2005 WO
2006003592 Jan 2006 WO
2006003594 Jan 2006 WO
2006076016 Jul 2006 WO
2006078952 Jul 2006 WO
2006112887 Oct 2006 WO
2007001294 Jan 2007 WO
2007013889 Feb 2007 WO
2007019439 Feb 2007 WO
2007024241 Mar 2007 WO
2007024323 Mar 2007 WO
2007055041 May 2007 WO
2008055137 May 2008 WO
2008091741 Jul 2008 WO
2008096335 Aug 2008 WO
2008097736 Aug 2008 WO
2008118635 Oct 2008 WO
2008124219 Oct 2008 WO
2008130847 Oct 2008 WO
2008145268 Dec 2008 WO
2008156977 Dec 2008 WO
2009099924 Aug 2009 WO
2009102551 Aug 2009 WO
2009117238 Sep 2009 WO
2009117243 Sep 2009 WO
2009134635 Nov 2009 WO
Non-Patent Literature Citations (211)
Entry
Zehner et al., Selective Decoration of a Phase-Separated Diblock Copolymer with Thiol-Passivated Gold Nanocrystals, Langmuir, vol. 14, No. 2, (Jan. 20, 1998), pp. 241-244.
Zhang et al., Highly Ordered Nanoporous Thin Films from Cleavable Polystyrene-block-poly(ethylene oxide),Adv. Mater., vol. 19, (2007), pp. 1571-1576.
Zhang et al., Phase Change Nanodot Arrays Fabricated Using a Self-Assembly Diblock Copolymer Approach, Applied Physics Letter, vol. 91, (2007), pp. 013104-013104-3.
Zhang et al., Self-Assembled Monolayers of Terminal Alkynes on Gold, J. Am. Chem. Soc., vol. 129, No. 16, (2007), pp. 4876-4877.
Zhao et al., Colloidal Subwavelength Nanostructures for Antireflection Optical Coatings, Optics Letters, vol. 30, No. 14, (Jul. 15, 2005), pp. 1885-1\887.
Zhou et al., Nanoscale Metal/Self-Assembled Monolayer/Metal Heterostructures, Appl. Phys. Lett., vol. 71, No. 5, (Aug. 4, 1997), pp. 611-613.
Zhu et al., Grafting of High-Density Poly(Ethylene Glycol) Monolayers on Si(111), Langmuir, vol. 17, (2001), pp. 7798-7803.
Zhu et al., Molecular Assemblies on Silicon Surfaces via Si-O Linkages, Langmuir, vol. 16, (2000), pp. 6766-6772.
Alger, Mark S. M., Polymer Science Dictionary, describing the Flory-Huggins interaction parameter (Chi value, c value) and the Flory-Huggins theory (1989), pp. 201-202.
Anonymous, Aluminium oxide, <http://en.wikipedia.org/wiki/Aluminium_oxide>, (visited Feb. 12, 2015), 10 pages.
Anonymous, Polydimethylsiloxane, <http://en.wikipedia.org/wiki/Polydimethylsiloxane>, (visited Feb. 12, 2015), 11 pages.
Ali et al., Properties of Self-Assembled ZnO Nanostructures, Solid-State Electronics, vol. 46, (2002), pp. 1639-1642.
Anonymous, Electronegativity, <http://www.princeton.edu/˜achaney/tmve/wiki100k/docs/Electronegativity.html>, (visited Aug. 28, 2013), 1 page.
Arshady et al., The Introduction of Chloromethyl Groups into Styrene-Based Polymers, 1, Makromol. Chem., vol. 177, (1976), pp. 2911-2918.
Asakawa et al., Fabrication of Subwavelength Structure for Improvement in Light-Extraction Efficiency of Light-Emitting Devices Using a Self-Assembled Pattern of Block Copolymer, Applied Optics, vol. 44, No. 34, (Dec. 1, 2005), pp. 7475-7482.
Bae et al., Surface Modification Using Photo-Crosslinkable Random Copolymers, Abstract submitted for the Mar. 2006 meeting of The American Physical Society, (submitted Nov. 30, 2005) (accessed online Apr. 5, 2010) <http://absimage.aps.org/image/MWS_MAR06-2005-003641.pdf>.
Balsara et al., Synthesis and Application of Nanostructured Materials, CPIMA, IRG Technical Programs, Leland Stanford Junior Univ., (2006), <http://www.stanford.edu/group/cpima/irg/irg_1.htm>, 9 pages.
Bang et al., The Effect of Humidity on the Ordering of Tri-block Copolymer Thin Films, Abstract submitted for the Mar. 2007 meeting of The American Physical Society, (submitted Nov. 20, 2006), 1 page.
Bass et al., Microcontact Printing with Octadecanethiol, Applied Surface Science, vol. 226, No. 4, (Apr. 2004), pp. 335-340.
Bearinger et al., Chemisorbed Poly(propylene sulphide)-Based Copolymers Resist Biomolecular Interactions, Nature Materials, vol. 2, (2003), pp. 259-264.
Berry et al., Effects of Zone Annealing on Thin Films of Block Copolymers, National Institute of Standards and Technology, Polymers Division, Maryland, USA, (2007), 2 pages.
Berry et al., Orientational Order in Block Copolymer Films Zone Annealed Below the Order-Disorder Transition Temperature, Nano Letters, vol. 7, No. 9, (Aug. 2007), pp. 2789-2794.
Black et al., High-Capacity, Self-Assembled Metal-Oxide-Semiconductor Decoupling Capacitors, IEEE Electron Device Letters, vol. 25, No. 9, (Sep. 2004), pp. 622-624.
Black, Integration of Self Assembly for Semiconductor Microelectronics, IEEE 2005 Custom Integrated Circuits Conference, (2005), pp. 87-91.
Black et al., Integration of Self-Assembled Diblock Copolymers for Semiconductor Capacitor Fabrication, Applied Physics Letters, vol. 79, No. 3, (2001), pp. 409-411.
Black et al., Nanometer-Scale Pattern Registration and Alignment by Directed Diblock Copolymer Self-Assembly, IEEE Transactions on Nanotechnology, vol. 3, No. 3, (Sep. 2004), pp. 412-415.
Black et al., Polymer Self Assembly in Semiconductor Microelectronics, IBM J. Res. & Dev., vol. 51, No. 5, (Sep. 2007), pp. 605-633.
Black et al., Self Assembly in Semiconductor Microelectronics: Self-Aligned Sub-Lithographic Patterning Using Diblock Copolymer Thin Films, Proc of SPIE, vol. 6153, (2006), pp. 615302-1-615302-11.
Black, C. T., Polymer Self-Assembly as a Novel Extension to Optical Lithography, American Chemical Society, ACSNano, vol. 1, No. 3, (2007), pp. 147-150.
Black, C. T., Self-Aligned Self-Assembly of Multi-Nanowire Silicon Field Effect Transistors, Appl. Phys. Lett., vol. 87, (2005), pp. 163116-1-163116-3.
Botelho Do Rego et al., Diblock Copolymer Ultrathin Films Studied by High Resolution Electron Energy Loss Spectroscopy, Surface Science, 482-485, (2001), pp. 1228-1234.
Brydson et al. (chapter authors), Generic Methodologies for Nanotechnology: Classification and Fabrication, Nanoscale Science and Technology, John Wiley & Sons, Ltd., (Dec. 20, 2005), pp. 1-55.
Bulpitt et al., New Strategy for Chemical Modification of Hyaluronic Acid: Preparation of Functionalized Derivatives and Their Use in the Formation of Novel Biocompatible Hydrogels, Journal of Biomedical Materials Research, vol. 47, Issue 2, (Aug. 1999), pp. 152-169, (Abstract only).
Canaria et al., Formation and Removal of Alkylthiolate Self-Assembled Monolayers on Gold in Aqueous Solutions, Royal Society of Chemistry, Lab Chip, vol. 6, (2006), pp. 289-295, (Abstract only).
Candau et al., Synthesis and Characterization of Polystyrene-poly(ethylene oxide) Graft Copolymers, Polymer, vol. 18, (1977), pp. 1253-1257.
Cavicchi et al., Solvent Annealed Thin Films of Asymmetric Polyisoprene-Polylactide Diblock Copolymers, Macromolecules, vol. 40, (2007), pp. 1181-1186.
Cha et al., Biomimetic Approaches for Fabricating High-Density Nanopattemed Arrays, Chem. Mater., vol. 19, (2007), pp. 839-843.
Chai et al., Assembly of Aligned Linear Metallic Patterns on Silicon, Nature Nanotechnology, vol. 2, (Aug. 2007), pp. 500-506.
Chai et al., Using Cylindrical Domains of Block Copolymers to Self-Assemble and Align Metallic Nanowires, American Chemical Society, www.acsnano.org, (2008), pp. A-M.
Chandekar et al., Template-Directed Adsorption of Block Copolymers on Alkanethiol-Patterned Gold Surfaces, (2006), http://www.nano.neu.edu/industry/industry_showcase/industry_day/documents/Chandekar.pdf) (Powerpoint template for scientific posters (Swarthmore College)), 1 page.
Chang et al., Diblock Copolymer Directed Self-Assembly for CMOS Device Fabrication, Proc. of SPIE, vol. 6156, (2006), pp. 615611-1-615611-6.
Chang, et al., Experimental Demonstration of Aperiodic Patterns of Directed Self-Assembly by Block Copolymer Lithography for Random Logic Circuit Layout, IEEE International Electron Devices Meeting (IEDM), paper 33.2, (Dec. 6-8, 2010), pp. 33.2.1-33.2.4.
Chen et al., Highly Ordered Arrays of Mesoporous Silica Nanorods with Tunable Aspect Ratios from Block Copolymer Thin Films, Advanced Materials, vol. 20, (2008), pp. 763-767.
Cheng et al., Rapid Directed Self Assembly of Lamellar Microdomains from a Block Copolymer Containing Hybrid, Applied Physics Letters, vol. 91, (2007), pp. 143106-1-143106-3.
Cheng et al., Self-Assembled One-Dimensional Nanostructure Arrays, Nano Letters, vol. 6, No. 9, (2006), pp. 2099-2103.
Cheng et al., Templated Self-Assembly of Block Copolymers: Effect of Substrate Topography, Adv. Mater., vol. 15, No. 19, (2003), pp. 1599-1602.
Cheng et al., “Templated Self-Assembly of Block Copolymers: Top-Down Helps Bottom-Up,” Adv. Mater. (2006), vol. 18, pp. 2505-2521.
Cho et al., Nanoporous Block Copolymer Micelle/Micelle Multilayer Films with Dual Optical Properties, J. Am. Chem. Soc., vol. 128, No. 30, (2006), pp. 9935-9942.
Choi et al., Magnetorheology of Synthesized Core-Shell Structured Nanoparticle, IEEE Transactions on Magnetics, vol. 41, No. 10, (Oct. 2005), pp. 3448-3450.
Clark et al., Selective Deposition in Multilayer Assembly: SAMs as Molecular Templates, Supramolecular Science, vol. 4, (1997), pp. 141-146.
Daoulas et al., Fabrication of Complex Three-Dimensional Nanostructures from Self-Assembling Block Copolymer Materials on Two-Dimensional Chemically Patterned Templates with Mismatched Symmetry, Physical Review Letters, vol. 96, (Jan. 24, 2006), pp. 036104-1-036104-4.
Darling, Directing the Self-Assembly of Block Copolymers, Progress in Polymer Science, vol. 32, No. 10, (Jun. 2, 2007), pp. 1152-1204.
Desai et al., Engineered Silicon Surfaces for Biomimetic Interfaces, Business Briefing: Medical Device Manufacturing & Technology, (2002), pp. 1-4.
Edwards et al., Mechanism and Kinetics of Ordering in Diblock Copolymer Thin Films on Chemically Nanopatterned Substrates, Journal of Polymer Science: Part B: Polymer Physics, vol. 43, (2005), pp. 3444-3459.
Edwards et al., Precise Control over Molecular Dimensions of Block-Copolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates, Advanced Mater., vol. 16, No. 15, (Aug. 4, 2004), pp. 1315-1319.
Elisseeff et al., Photoencapsulation of Chondrocytes in Poly(ethylene oxide)-Based Semi-interpenetrating Networks, Journal of Biomedical Materials Research, vol. 51, No. 2, (Aug. 2000), pp. 164-171, (Abstract only).
Erlandsson et al., Metallic Zinc Reduction of Disulfide Bonds Between Cysteine Residues in Peptides and Proteins, Int'l J. Peptide Res. & Therapeutics, vol. 11, No. 4, (Dec. 2005), pp. 261-265.
Fasolka et al., Block Copolymer Thin Films: Physics and Applications, Annual Review of Materials Res., vol. 31, (Aug. 2001), pp. 323-355.
Fasolka et al., Morphology of Ultrathin Supported Diblock Copolymer Films: Theory and Experiment, Macromolecules, vol. 33, No. 15, (2000), pp. 5702-5712.
Fujita et al., Thin Silica Film with a Network Structure as Prepared by Surface Sol-Gel Transcription on the Poly (styrene-b-4-vinylpyridine) Polymer Film, Chemistry Letters, vol. 32, No. 4, (Mar. 13, 2003), pp. 352-353.
Fukunaga et al., Self-Assembly of Block Copolymer Thin Films Having a Half-Domain-Spacing Thickness: Nonequilibrium Pathways to Achieve Equilibrium Brush Layers Parallel to Substrate, Macromolecules, vol. 39, (Aug. 2006), pp. 6171-6179.
Lentz et al., Whole Wafer Imprint Patterning Using Step and Flash Imprint Lithography: A Manufacturing Solution for Sub 100 nm Patterning, SPIE Emerging Lithographic Technologies, vol. 6517, (Mar. 16, 2007), 10 pages.
Li et al., A Method for Patterning Multiple Types of Cells by Using Electrochemical Desorption of Self-Assembled Monolayers within Microfluidic Channels, Angew. Chem. Int. Ed., vol. 46, (2007), pp. 1094-1096.
Li et al., Block Copolymer Patterns and Templates, Materials Today, vol. 9, No. 9, (Sep. 2006), pp. 30-39.
Li et al., Creation of Sub-20-nm Contact Using Diblock Copolymer on a 300 mm Wafer for Complementary Metal Dxide Semiconductor Applications, J. Vac Sci. Technol. B, vol. 25, No. 6, (Nov./Dec. 2007), pp. 1982-1984.
Li et al., Morphology Change of Asymmetric Diblock Copolymer Micellar Films During Solvent Annealing, Polymer, vol. 48, (2007), pp. 2434-2443.
Li et al., Ordered Block-Copolymer Assembly Using Nanoimprint Lithography, Nano Lett. (2004), vol. 4, No. 9, pp. 1633-1636.
Lin et al., A Rapid Route to Arrays of Nanostructures in Thin Films, Adv. Mater., vol. 14, No. 19, (Oct. 2, 2002), pp. 1373-1376.
Lin-Gibson et al., Structure-Property Relationships of Photopolymerizable Poly(ethylene glycol) Dimethacrylate Hydrogels, Macromolecules, vol. 38, (2005), pp. 2897-2902.
Liu et al., Pattern Transfer Using Poly(styrene-block-methyl methacrylate) Copolymer Films and Reactive Ion Etching, J. Vac. Sci. Technol. B, vol. 25, No. 6, (Nov./Dec. 2007), pp. 1963-1968.
Loo et al., Additive, Nanoscale Patterning of Metal Films with a Stamp and a Surface Chemistry Mediated Transfer Process: Applications in Plastic Electronics, Applied Physics Letters, vol. 81, No. 3, (Jul. 15, 2002), pp. 562-564.
Lopes et al., Hierarchical Self-Assembly of Metal Nanostructures on Diblock Copolymer Scaffolds, Nature, vol. 414, (Dec. 13, 2001), pp. 735-738.
Lutolf et al., Cell-Responsive Synthetic Hydrogels, Adv. Mater., vol. 15, No. 11, (Jun. 2003), pp. 888-892.
Lutolf et al., Synthetic Biomaterials as Instructive Extracellular Microenvironments for Morphogenesis in Tissue Engineering, Nature Biotechnology, vol. 23, (2005), pp. 47-55, (Abstract only).
Lutz, 1,3-Dipolar Cycloadditions of Azides and Alkynes: A Universal Ligation Tool in Polymer and Materials Science, Angew. Chem. Int. Ed., vol. 46, (2007), pp. 1018-1025.
Malenfant et al., Self-Assembly of an Organic-Inorganic Block Copolymer for Nano-Ordered Ceramics, Nature Nanotechnology, vol. 2, (Jan. 2007), pp. 43-46.
Malkoch et al., Synthesis of Well-Defined Hydrogel Networks Using Click Chemistry, Chem. Commun., (2006), pp. 2774-2776.
Mansky et al., Controlling Polymer-Surface Interactions with Random Copolymer Brushes, Science, vol. 275, (Mar. 7, 1997), pp. 1458-1460.
Martens et al., Characterization of Hydrogels Formed from Acrylate Modified Poly(vinyl alcohol) Macromers, Polymer, vol. 41, No. 21, (Oct. 2000), pp. 7715-7722, (Abstract only).
Matsuda et al., Photoinduced Prevention of Tissue Adhesion, ASAIO J, vol. 38, No. 3, (Jul.-Sep. 1992), pp. M154-M157, (Abstract only).
Maye et al., Chemical Analysis Using Force Microscopy, Journal of Chemical Education, vol. 79, No. 2, (Feb. 2002), pp. 207-210.
Melde et al., Silica Nanostructures Templated by Oriented Block Copolymer Thin Films Using Pore-Filling and Selective-Mineralization Routes, Chem. Mater., vol. 17, No. 18, (Aug. 13, 2005), pp. 4743-4749.
Metters et al., Network Formation and Degradation Behavior of Hydrogels Formed by Michael-Type Addition Reactions, Biomacromolecules, vol. 6, (2005), pp. 290-301.
Meyer et al., Controlled Dewetting Processes on Microstructured Surfaces—a New Procedure for Thin Film Microstructuring, Macromollecular Mater. Eng., vol. 276/277, (2000), pp. 44-50.
Mezzenga et al., On the Role of Block Copolymers in Self-Assembly of Dense Colloidal Polymeric Systems, Langmuir, vol. 19, No. 20, (2003), pp. 8144-8147.
Mindel et al., A Study of Bredig Platinum Sols, The Chemical Laboratories of New York University, vol. 65, (Jun. 10, 1943), p. 2112.
Naito et al., 2.5-lnch Disk Patterned Media Prepared by an Artificially Assisted Self-Assembling Method, IEEE Transactions on Magnetics, vol. 38, No. 5, (Sep. 2002), pp. 1949-1951.
Nealey et al., Self-Assembling Resists for Nanolithography, 2005 Electron Devices Meeting, IEDM Technical Digest, (2005), 2 pages.
Nguyen et al., Photopolymerizable Hydrogels for Tissue Engineering Applications, Biomaterials, vol. 23, (2002), pp. 4307-4314.
Nishikubo, T., Chemical Modification of Polymers via a Phase-Transfer Catalyst or Organic Strong Base, American Chemical Society Symposium Series, (1997), pp. 214-230.
Niu et al., Selective Assembly of Nanoparticles on Block Copolymer by Surface Modification, Nanotechnology, vol. 18, (2007), pp. 1-4.
Niu et al., Stability of Order in Solvent-Annealed Block Copolymer Thin Films, Macromolecules, vol. 36, No. 7, (2003), pp. 2428-2440, (Abstract and Figures only).
Olayo-Valles et al. Large Area Nanolithographic Templates by Selective Etching of Chemically Stained Block Copolymer Thin Films, J Mater. Chem., vol. 14, (2004), pp. 2729-2731.
Parejo et al., Highly Efficient UV-Absorbing Thin-Film Coatings for Protection of Organic Materials Against Photodegradation, J. Mater. Chem., vol. 16, (2006), pp. 2165-2169.
Park et al., Block Copolymer Lithography: Periodic Arrays of ˜10 Holes in 1 Square Centimeter, Science, vol. 276, No. 5317, (May 30, 1997), pp. 1401-1404.
Park et al., Block Copolymer Multiple Patterning Integrated with Conventional ArF Lithography, Soft Matter, vol. 6, (2010), pp. 120-125.
Park et al., Controlled Ordering of Block Copolymer Thin Films by the Addition of Hydrophilic Nanoparticles, Macromolecules 2007, vol. 40, No. 22, (2007), pp. 8119-8124.
Park et al., Directed Assembly of Lamellae-Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates, Advanced Materials, vol. 19, No. 4, (Feb. 2007), pp. 607-611.
Park et al., Enabling Nanotechnology with Self Assembled Block Copolymer Patterns, Polymer, vol. 44, No. 22, (2003), pp. 6725-6760.
Park et al., Fabrication of Highly Ordered Silicon Oxide Dots and Stripes from Block Copolymer Thin Films, Advanced Materials, vol. 20, (2008), pp. 681-685.
Park et al., High-Aspect-Ratio Cylindrical Nanopore Arrays and Their Use forTemplating Titania Nanoposts, Advanced Materials, vol. 20, (2008), pp. 738-742.
Park et al., The Fabrication of Thin Films with Nanopores and Nanogrooves from Block Copolymer Thin Films on the Neutral Surface of Self-Assembled Monolayers, Nanotechnology, vol. 18, (2007), pp. 1-7.
Peng et al., Development of Nanodomain and Fractal Morphologies in Solvent Annealed Block Copolymer Thin Films, Macromol. Rapid Commun., vol. 28, (2007), pp. 1422-1428.
Peters et al., Combining Advanced Lithographic Techniques and Self-Assembly of Thin Films of Diblock Copolymers to Produce Templates for Nanofabrication, J. Vac. Sci. Technol. B, vol. 18, No. 6, (Nov./Dec. 2000), pp. 3530-3532.
Peters et al., Morphology of Thin Films of Diblock Copolymers on Surfaces Micropattemed with Regions of Different Interfacial Energy, Macromolecules, vol. 35, No. 5, (2002), pp. 1822-1834.
Poiemkin et al., Effect of the Molecular Weight of AB Diblock Copolymers on the Lamellar Orientation in Thin Films: Theory and Experiment, Macromol. Rapid Commun., vol. 28, (2007), pp. 579-584.
Reed et al., Molecular Random Access Memory Cell, Appl. Phys. Lett., vol. 78, No. 23, (Jun. 4, 2001), pp. 3735-3737.
Resnick et al., Initial Study of the Fabrication of Step and Flash Imprint Lithography Templates for the Printing of Contact Holes, J. Micro/Nanolithography, MEMS, and MOEMS, vol. 3, No. 2, (Apr. 2004), pp. 316-321.
Rogers, J. A., Slice and Dice, Peel and Stick: Emerging Methods for Nanostructure Fabrication, ACS Nano, vol. 1, No. 3, (2007), pp. 151-153.
Rozkiewicz et al., “Click” Chemistry by Microcontact Printing, Angew. Chem. Int. Ed., vol. 45, No. 32, (Jul. 12, 2006), pp. 5292-5296.
Ruiz et al., Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly, Science, vol. 321, (Aug. 15, 2008), pp. 936-939.
Gates et al., Unconventional Nanofabrication, Annu. Rev. Mater. Res., vol. 34, (2004), pp. 339-372.
Gates, Nanofabrication with Molds & Stamps, Materials Today, (Feb. 2005), pp. 44-49.
Ge et al., Thermal Conductance of Hydrophilic and Hydrophobic Interfaces, Physical Review Letters, vol. 96, (May 8, 2006), pp. 186101-1-186101-4.
Gelest, Inc., Silane Coupling Agents: Connecting Across Boundaries, v2.0, ( 2006), pp. 1-56.
Genua et al., Functional Patterns Obtained by Nanoimprinting Lithography and Subsequent Growth of Polymer Brushes, Nanotechnology, vol. 18, (2007), pp. 1-7.
Gillmor et al., Hydrophilic/Hydrophobic Patterned Surfaces as Templates for DNA Arrays, Langmuir, vol. 16, No. 18, (2000), pp. 7223-7228.
Grubbs, Hybrid Metal-Polymer Composites from Functional Block Copolymers, J. of Polymer Sci.: Part A: Polymer Chemistry, vol. 43, Issue 19, (Oct. 1, 2005), pp. 4323-4336.
Guarini et al., Nanoscale Patterning Using Self-Assembled Polymers for Semiconductor Applications, J. Vac. Sci. Technol. B 19(6), (Nov./Dec. 2001), pp. 2784-2788.
Gudipati et al., Hyperbranched Fluoropolymer and Linear Poly(ethylene glycol) Based Amphiphilic Crosslinked Networks as Efficient Antifouling Coatings: An Insight into the Surface Compositions, Topographies, and Morphologies, Journal of Polymer Science: Part A: Polymer Chemistry, vol. 42, (2004), pp. 6193-6208.
Guo et al., Synthesis and Characterization of Novel Biodegradable Unsaturated Poly(ester amide)/Poly(ethylene glycol) Diacrylate Hydrogels, Journal of Polymer Science Part A: Polymer Chemistry, vol. 43, Issue 17, (2005), pp. 3932-3944 (Abstract only).
Hadziioannou, Semiconducting Block Copolymers for Self-Assembled Photovoltaic Devices, MRS Bulletin, (Jun. 2002), pp. 456-460.
Hamers, Passivation and Activation: How Do Monovalent Atoms Modify the Reactivity of Silicon Surfaces? A Perspective on the Article, “The Mechanism of Amine Formation on Si(100) Activated with Chlorine Atoms”, by C.C. Finstad, A.D. Thorsness, and A.J. Muscat, Surface Sci., vol. 600, (2006), pp. 3361-3362.
Hamley, I. W., Introduction to Block Copolymers, Developments in Block Copolymers Science and Technology, John Wiley & Sons, Ltd., (2004), pp. 1-29.
Hammond et al., Temperature Dependence of Order, Disorder, and Defects in Laterally Confined Diblock Copolymer Cylinder Monolayers, Macromolecules, vol. 38, (Jul. 2005), pp. 6575-6585.
Harrison et al., Layer by Layer Imaging of Diblock Copolymer Films with a Scanning Electron Microscope, Polymer, vol. 39, No. 13, (1998), pp. 2733-2744.
Hawker et al., Facile Synthesis of Block Copolymers for Nanolithographic Applications, Polymer Preprints, American Chemical Society, vol. 46, No. 2, (2005), pp. 239-240.
Hawker et al., Improving the Manufacturability and Structural Control of Block Copolymer Lithography, Abstracts of Papers, 232nd ACS National Meeting, San Francisco, CA, (Sep. 10-14, 2006), 1 page, (Abstract only).
Hayward et al., Crosslinked Poly(styrene)-block-Poly(2-vinylpyridine) Thin Films as Swellable Templates for Mesostructured Silica and Titania, Advanced Materials, vol. 17, (2005), pp. 2591-2595.
He et al., Self-Assembly of Block Copolymer Micelles in an Ionic Liquid, J. Am. Chem. Soc., vol. 128, (2006), pp. 2745-2750.
Helmbold et al., Optical Absorption of Amorphous Hydrogenated Carbon Thin Films, Thin Solid Films, vol. 283, (1996), pp. 196-203.
Helmuth et al., High-Speed Microcontact Printing, J. Am. Chem. Soc., vol. 128, No. 29, (2006), pp. 9296-9297.
Hermans et al., Application of Solvent-Directed Assembly of Block Copolymers to the Synthesis of Nanostructured Materials with Low Dielectric Constants, Angewandte Chem. Int'l. Ed., vol. 45, Issue 40, (Oct. 13, 2006), pp. 6648-6652.
Horiuchi et al., Three-Dimensional Nanoscale Alignment of Metal Nanoparticles Using Block Copolymer Films as Nanoreactors, Langmuir, vol. 19, (2003), pp. 2963-2973.
Huang et al., Using Surface Active Random Copolymers to Control the Domain Orientation in Diblock Copolymer Thin Films, Macromolecules, vol. 31, (1998), pp. 7641-7650.
Hur et al., Nanotransfer Printing by Use of Noncovalent Surface Forces: Applications to Thin-Film Transistors That Use Single-Walled Carbon Nanotube Networks and Semiconducting Polymers, Applied Physics Letters, vol. 85, No. 23, (Dec. 6, 2004), pp. 5730-5732.
Hutchison et al., Polymerizable Living Free Radical Initiators as a Platform to Synthesize Functional Networks, Chem. Mater., vol. 17, No. 19, (2005), pp. 4789-4797.
Ikeda et al., Control of Orientation of Thin Films of Organic Semiconductors by Graphoepitaxy, Nanotech Japan Bulletin—NIMS International Center for Nanotechnology Network., vol. 3, No. 3, (Dec. 17, 2010), 23 pages.
In et al., Side-Chain-Grafted Random Copolymer Brushes as Neutral Surfaces for Controlling the Orientation of Block Copolymer Microdomains in Thin Films, Langmuir, vol. 22, No. 18, (2006), pp. 7855-7860.
Ji et al., Generalization of the Use of Random Copolymers to Control the Wetting Behaviors of Block Copolymer Films, Macromolecules, vol. 41, No. 23, (2008), pp. 9098-9103.
Ji et al., Molecular Transfer Printing Using Block Copolymers, ACS Nano, vol. 4, No. 2, (2010), pp. 599-609.
Ji et al., Preparation of Neutral Wetting Brushes for Block Copolymer Films from Homopolymer Blends, submitted to Advanced Materials, vol. 20, No. 16, (Jul. 7, 2008), pp. 3054-3060.
Jiang et al., Electrochemical Desorption of Self-Assembled Monolayers Noninvasively Releases Patterned Cells from Geometrical Confinements, J. Am. Chem. Soc., vol. 125, No. 9, (2003), pp. 2366-2367.
Johnson et al., Probing the Stability of the Disulfide Radical Intermediate of Thioredoxin Using Direct Electrochemistry, Letters in Peptide Sci., vol. 10, (2003), pp. 495-500.
Jun et al., Microcontact Printing Directly on the Silicon Surface, Langmuir, vol. 18, No. 9, (2002), pp. 3415-3417, (Abstract only).
Jun et al., Patterning Protein Molecules on Poly(ethylene glycol) Coated Si(111), Biomaterials, vol. 25, (2004), pp. 3503-3509.
Karim et al., Control of Ordering Kinetics and Morphology Using Zone Annealing of Thin Block Copolymer Films, Abstract submitted for the Mar. 2007 Meeting of The American Physical Society, (Nov. 20, 2006), 2 pages.
Kavakli et al., Single and Double-Layer Antireflection Coatings on Silicon, Turk J. Phys., vol. 26, (2002), pp. 349-354.
Kim et al., Epitaxial Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates, Nature, vol. 424, (Jul. 24, 2003), pp. 411-414.
Kim et al., Highly Oriented and Ordered Arrays from Block Copolymers via Solvent Evaporation, Adv. Mater., vol. 16, No. 3, (Feb. 3, 2004), pp. 226-231.
Kim et al., Hybrid Nanofabrication Processes Utilizing Diblock Copolymer Nanotemplate Prepared by Self-Assembled Monolayer Based Surface Neutralization, J. Vac. Sci. Technol. B, vol. 26, No. 1, (Jan./Feb. 2008), pp. 189-194.
Kim et al., In Vitro Release Behavior of Dextran-methacrylate Hydrogels Using Doxorubicin and Other Model Compounds, J. Biomater. Appl., vol. 15, No. 1, (Jul. 2000), pp. 23-46, (Abstract only).
Kim et al., Novel Complex Nanostructure from Directed Assembly of Block Copolymers on Incommensurate Surface Patterns, Adv. Mater., vol. 19, (2007), pp. 3271-3275.
Kim et al., Salt Complexation in Block Copolymer Thin Films, Macromolecules, vol. 39, No. 24, (2006), pp. 8473-8479.
Kim et al., Self-Assembled Hydrogel Nanoparticles Composed of Dextran and Poly(ethylene glycol) Macromer, Int. J. Pharm., vol. 205, No. 1-2, (Sep. 15, 2000), pp. 109-116, (Abstract only).
Kim et al., Solvent-Induced Ordering in Thin Film Diblock Copolymer/Homopolymer Mixtures, Advanced Mater., vol. 16, No. 23-24, (Dec. 17, 2004), pp. 2119-2123.
Kim et al., Synthesis and Characterization of Dextran-methacrylate Hydrogels and Structural Study by SEM, J. Biomater. Res., vol. 49, No. 4, (Mar. 15, 2000), pp. 517-527, (only).
Knoll et al., Phase Behavior in Thin Films of Cylinder-Forming Block Copolymers, Physical Review Letters, vol. 89, No. 3, (Jul. 15, 2002), pp. 035501-1-035501-4.
Krishnamoorthy et al., Block Copolymer Micelles as Switchable Templates for Nanofabrication, Langmuir, vol. 22, No. 8, (2006), pp. 3450-3452.
Krishnamoorthy et al., Nanopatterned Self-Assembled Monolayers by Using Diblock Copolymer Micelles as Nanometer-Scale Adsorption and Etch Masks, Advanced Materials, (2008), pp. 1-4.
Krishnamoorthy et al., Nanoscale Patterning with Block Copolymers, Materials Today, vol. 9, No. 9, (Sep. 2006), pp. 40-47.
Kuhnline et al., Detecting Thiols in a Microchip Device Using Micromolded Carbon Ink Electrodes Modified with Cobalt Phthalocyanine, Analyst, vol. 131, (2006), pp. 202-207.
La et al., Directed Assembly of Cylinder-Forming Block Copolymers into Patterned Structures to Fabricate Arrays of Spherical Domains and Nanoparticles, Chem. Mater., vol. 19, No. 18, (2007), pp. 4538-4544.
La et al., Pixelated Chemically Amplified Resists: Investigation of Material Structure on the Spatial Distribution of Photoacids and Line Edge Roughness, J. Vac. Sci. Technol. B, vol. 25, No. 6, (Nov./Dec. 2007), pp. 2508-2513.
Lacour et al., Stretchable Gold Conductors on Elastomeric Substrates, Applied Physics Letters, vol. 82, No. 15, (Apr. 14, 2003), pp. 2404-2406.
Laracuente et al., Step Structure and Surface Morphology of Hydrogen-Terminated Silicon: (001) to (114), Surface Science, vol. 545, (2003), pp. 70-84.
Ruiz et al., Induced Orientational Order in Symmetric Diblock Copolymer Thin-Films, Advanced Materials, vol. 19, No. 4, (2007), pp. 587-591.
Ryu et a., Surface Modification with Cross-Linked Random Copolymers: Minimum Effective Thickness, Macromolecules, vol. 40, No. 12, (2007), pp. 4296-4300.
Saraf et al., Spontaneous Planarization of Nanoscale Phase Separated Thin Film, Applied Physics Letters, vol. 80, No 23, (Jun. 10, 2002), pp. 4425-4427.
Sato et al., Novel Antireflective Layer Using Polysilane for Deep Ultraviolet Lithography, J. Vac. Sci. Technol. B, vol. 17, No. 6, (Nov./Dec. 1999), pp. 3398-3401.
Sawhney et al., Bioerodible Hydrogels Based on Photopolymerized Poly(ethylene glycol)-co-poly(a-hydroxy acid) Diacrylate Macromers, Macromolecules 1993, vol. 26, (1993), pp. 581-587, abstract only.
Segalman, R. A., Patterning with Block Copolymer Thin Films, Materials Science and Engineering R 48, (2005), pp. 191-226.
Shahrjerdi et al., Fabrication of Ni Nanocrystal Flash Memories Using a Polymeric Self-Assembly Approach, IEEE Electron Device Letters, vol. 28, No. 9, (Sep. 2007), pp. 793-796.
Sharma et al., Ultrathin Poly(ethylene glycol) Films for Silicon-based Microdevices, Applied Surface Science, vol. 206, (2003), pp. 218-229.
Sigma-Aldrich, 312-315 Tutorial regarding Materials for Lithography/Nanopatterning, http://www.sigmaaldrich.com/Area_of_Interest/Chemistry/Materials_Science/Micro_and_Nanoelectronic website, (retrieved Aug. 27, 2007), 8 pages.
Sivaniah et al., Observation of Perpendicular Orientation in Symmetric Diblock Copolymer Thin Films on Rough Substrates, Macromolecules 2003, vol. 36, (2003), pp. 5894-5896.
Sivaniah et al., Symmetric Diblock Copolymer Thin Films on Rough Substrates, Kinetics and Structure Formation in Pure Block Copolymer Thin Films, Macromolecules 2005, vol. 38, (2005), pp. 1837-1849.
Sohn et al., Fabrication of the Multilayered Nanostructure of Alternating Polymers and Gold Nanoparticles with Thin Films of Self-Assembling Diblock Copolymers, Chem. Mater., vol. 13, (2001), pp. 1752-1757.
Solak, H. H., Nanolithography with Coherent Extreme Ultraviolet Light, Journal of Physics D: Applied Physics, vol. 39, (2006), pp. R171-R188.
Srinvivasan et al., Scanning Electron Microscopy of Nanoscale Chemical Patterns, ACS Nano, vol. 1, No. 3, (2007), pp. 191-201.
Stoykovich et al., Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures, Science, vol. 308, (Jun. 3, 2005), pp. 1442-1446.
Stoykovich, M. P., et al, Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries, ACS Nano, vol. 1, No. 3, (2007), pp. 168-175.
Sundrani et al., Guiding Polymers to Perfection: Macroscopic Alignment of Nanoscale Domains, Nano Lett., vol. 1, No. 2, (2004), pp. 273-276.
Sundrani et al., Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement, Langmuir 2004, vol. 20, No. 12, (2004), pp. 5091-5099.
Tadd et al, Spatial Distribution of Cobalt Nanoclusters in Block Copolymers, Langmuir, vol. 18, (2002), pp. 2378-2384.
Tang et al., Evolution of Block Copolymer Lithography to Highly Ordered Square Arrays, Science, vol. 322, No. 5900, (Sep. 25, 2008), pp. 429-432.
Trimbach et al., Block Copolymer Thermoplastic Elastomers for Microcontact Printing, Langmuir, vol. 19, (2003), pp. 10957-10961.
Truskett et al., Trends in Imprint Lithography for Biological Applications, TRENDS in Biotechnology, vol. 24, No. 7, (Jul. 2006), pp. 312-315.
Tseng et al., Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis, J. of Physical Chemistry, (Jul. 11, 2011), 16 pgs.
Van Poll et al., Self-Assembly Approach to Chemical Micropatterning of Poly(dimethylsiloxane), Angew. Chem. Int. Ed. 2007, vol. 46, (2007), pp. 6634-6637.
Wang et al., One Step Fabrication and characterization of Platinum Nanopore Electrode Ensembles formed via Amphiphilic Block Copolymer Self-assembly, Electrochimica Acta 52, (2006), pp. 704-709.
Wathier et al., Dendritic Macromers as in Situ Polymerizing Biomaterials for Securing Cataract Incisions, J. Am. Chem. Soc., vol. 126, No. 40, (2004), pp. 12744-12745, abstract only.
Winesett et al., Tuning Substrate Surface Energies for Blends of Polystyrene and Poly(methyl methacrylate), Langmuir 2003, vol. 19, (2003), pp. 8526-8535.
WIPf, Handbook of Reagents for Organic Synthesis, John Wiley & Sons Ltd., (2005), p. 320.
Wu et al., Self-Assembled Two-Dimensional Block Copolymers on Pre-patterned Templates with Laser Interference Lithography, IEEE, (2007), pp. 153-154.
Xia et al., An Approach to Lithographically Defined Self-Assembled Nanoparticle Films, Advanced Materials, vol. 18, (2006), pp. 930-933.
Xia et al., Soft Lithography, Annu. Rev. Mater. Sci., vol. 28, (1998), pp. 153-184.
Xiao et al., Graphoepitaxy of Cylinder-forming Block Copolymers for Use as Templates to Pattern Magnetic Metal Dot Arrays, Nanotechnology 16, IPO Publishing Ltd, UK (2005), pp. S324-S329.
Xu et al., Electric Field Alignment of Symmetric Diblock Copolymer Thin Films, Macromolecules, (2003), 5 pgs.
Xu et al., Interfacial Interaction Dependence of Microdomain Orientation in Diblock Copolymer Thin Films, Macromolecules, vol. 38, (2005), pp. 2802-2805.
Xu et al., Surface-Initiated Atom Transfer Radical Polymerization from Halogen-Terminated Si(111) (Si—X, X=Cl, Br) Surfaces for the Preparation of Well-Defined Polymer-Si Hybrids, Langmuir, vol. 21, No. 8, (2005), pp. 3221-3225.
Xu et al., The Influence of Molecular Weight on Nanoporous Polymer Films, Polymer 42, Elsevier Science Ltd., (2001), pp. 9091-9095.
Yamaguchi et al., Resist-Pattern Guided Self-Assembly of Symmetric Diblock Copolymer, Journal of Photopolymer Science and Technology, vol. 19, No. 3, (2006), pp. 385-388.
Yamaguchi et al., Two-dimensional Arrangement of Vertically Oriented Cylindrical Domains of Diblock Copolymers Using Graphoepitaxy with Artificial Guiding Pattern Layout, Microprocesses and Nanotechnology, 2007, Conference date Nov. 5-8, 2007, pp. 434-435.
Yan et al., Preparation and Phase Segregation of Block Copolymer Nanotube Multiblocks, J. Am. Chem. Soc., vol. 126, No. 32, (2004), pp. 10059-10066.
Yang et al., Covalently Attached Graft Polymer Monolayer on Organic Polymeric Substrate via Confined Surface Inhibition Reaction, J. Polymer Sci.—A—Polymer Chemistry Ed., vol. 45, Issue 5, (2007), pp. 745-755.
Yang et al., Guided Self-Assembly of Symmetric Diblock Copolymer Films on Chemically Nanopatterned Substrates, Macromolecules 2000, vol. 33, No. 26, (2000), pp. 9575-9582.
Yang et al., Nanoscopic Templates Using Self-assembled Cylindrical Diblock Copolymers for Patterned Media, J. Vac Sci. Technol. B 22(6), (Nov./Dec. 2004), pp. 3331-3334.
Yu et al., Contact Printing Beyond Surface Roughness: Liquid Supramolecular Nanostamping, Advanced Materials, vol. 19, (2007), pp. 4338-4342.
Yurt et al., Scission of Diblock Copolymers into Their Constituent Blocks, Macromolecules 2006, vol. 39, No. 5, (2006), pp. 1670-1672.
Zaumseil et al., Three-Dimensional and Multilayer Nanostructures Formed by Nanotransfer Printing, Nano Letters, vol. 3, No. 9,(2003), pp. 1223-1227.
Related Publications (1)
Number Date Country
20180337035 A1 Nov 2018 US
Divisions (2)
Number Date Country
Parent 14920018 Oct 2015 US
Child 16049329 US
Parent 14040245 Sep 2013 US
Child 14920018 US