The electronics industry has a growing demand for smaller and faster electronic devices that can simultaneously support a greater number of increasingly complex functions. Accordingly, there is a continuing trend in the semiconductor industry to manufacture low cost, high performance and low power integrated circuits (ICs). So far, these goals have been achieved largely by reducing IC dimensions (e.g., minimum feature size) of a semiconductor to increase production efficiency and reduce associated manufacture costs. However, this technique of reducing the IC dimensions of the semiconductor also increases the complexity of the semiconductor manufacturing process. Therefore, in order to cope with the continuous improvement and IC technologies of semiconductor, the semiconductor manufacturing processes and related technologies also need to be improved.
Recently, multi-gate devices have been introduced into fin field-effect transistors (FinFETs). FinFETs have been used in various applications, for example, to implement logic devices/circuits and to provide static random-access memory (SRAM) devices or the like. Generally speaking, logic devices may focus on performance (e.g., high current switching ratio (Ion/Ioff ratio), low parasitic capacitance, etc.), while SRAM devices may focus on optimizing the size of memory cells and improving operating voltages of memory cells and other requirements. However, optimization of the performance and/or design requirements of FinFET devices has been challenging.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
The semiconductor device of the present disclosure presents embodiments in the form of a multi-gate transistor or a fin multi-gate transistor, referred to herein as a fin field-effect transistor (FinFET) device. Such FinFET devices include P-type metal-oxide-semiconductor FinFET devices or N-type metal-oxide-semiconductor FinFET devices. FinFET devices can be dual-gate devices, tri-gate devices, bulk devices, silicon-on-insulator devices, or other configurations. For example, some embodiments as described herein can also be applied to a gate-all-around device (GAA device), an Omega-gate device (2-gate), or a x-type gate device (Pi-gate device).
FinFET devices have become popular candidates for high performance and low leakage applications (e.g., for logic devices and/or circuits). In various examples, FinFET devices employ narrow fin widths for short channel control, improved Ion/loff ratio, and continuously variable gate lengths. Additionally, FinFET devices with multiple fins have been used in high-speed applications, but such devices still suffer from increased current leakage and power consumption. In some embodiments, a single fin FinFET device may be used to mitigate current leakage and power consumption issues, but this may also result in a reduction in the speed of the FinFET device. Embodiments of the present disclosure can alleviate the current leakage and power consumption issues of the FinFET device with multiple fins, and also avoid the reduction in the speed of the FinFET device.
Referring to
The fins 104 may include silicon or other elemental semiconductors, such as germanium. Compound semiconductors include silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide). Alloy semiconductors include SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, or combinations thereof. The fins 104 may be fabricated using suitable processes including photolithography and etch processes. The photolithography process may include forming a photoresist layer covering the substrate 102, exposing the photoresist layer to form a pattern by performing a post-exposure bake process, and a masking element is used to form the pattern included in the photoresist layer. In some embodiments, patterning the photoresist layer to form the fabricated device may be performed using an e-beam lithography process. The photoresist layer may be used to protect areas of the substrate 102, and then recesses are formed in the silicon layer during an etch process for leaving the extended fins 104. The method of etching the recesses includes dry etching, wet etching and/or other suitable methods. The fins 104 on the substrate 102 may also be formed using other embodiments.
Each of the plurality of fins 104 is included in a source region 105 and a drain region 107, wherein the source region 105 and the drain region 107 are formed in, over and/or around the fins 104. The source/drain regions 105 and 107 may be epitaxially grown on the fins 104. The channel region 103 of the transistor is disposed within the fin 104 and below the gate structure 108 along a plane substantially parallel to the plane defined by section A-A′ of
The isolation region 106 may be a shallow trench isolation (STI) feature, or a field oxide, a local oxidation of silicon (LOCOS) feature and/or other suitable isolation features on and/or within the substrate 102. The isolation region 106 can be composed of the following materials: silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), low-k dielectrics, combinations thereof, and/or other suitable materials known in the art. In one embodiment, the STI feature can be formed into an isolation structure in the substrate 102 by etching trench technology. The trenches may then be filled with isolation material and subjected to a chemical mechanical polishing (CMP) process. In some embodiments, isolation region 106 may include a multi-layer structure, e.g., having one or more liner layers.
The gate structure 108 includes a gate stack, the gate stack includes a gate dielectric layer 110 and a gate electrode layer 112 formed above the gate dielectric layer 110. In some embodiments, the gate dielectric layer 110 may include an interfacial layer formed on the channel region 103 of the fins 104 and a high-K dielectric layer above the interfacial layer. The interface layer of the gate dielectric layer 110 may include a dielectric material, such as a silicon oxide layer (SiO2) or a silicon oxynitride (SiON). The high-K dielectric layer of the gate dielectric layer 110 may include: HfO2, TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, combinations thereof, or other suitable materials. In other embodiments, the gate dielectric layer 110 may include silicon dioxide or other suitable dielectrics. The gate dielectric layer 110 can be deposited by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD) and/or other suitable methods.
The gate electrode layer 112 may include a conductive layer, for example, W, TiN, TaN, WN, Re, Ir, Ru, Mo, Al, Cu, Co, Ni, combinations thereof and/or other suitable compositions. In some embodiments, the gate electrode layer 112 may include a first group of metal materials for N-type FinFET and a second group of metal materials for P-type FinFET. Accordingly, FinFET device 100 may include a dual work-function metal gate configuration. For example, the first metallic material (for an N-type device) may comprise a metal having a work function substantially aligned with that of the substrate conduction band, or at least substantially aligned with a work function of the conduction band of the channel region of the fin 104. Likewise, for example, the second metallic material (for a P-type device) may comprise a metal having a work function substantially aligned with that of the conduction band of the substrate, or at least substantially aligned with a work function of the conduction band of the channel region 103 of the fin 104. Accordingly, the gate electrode layer 112 may provide a gate electrode to the FinFET device 100, including N-type and P-type FinFET devices. In some embodiments, the gate electrode layer 112 may include polysilicon layers alternately stacked. The gate electrode layer 112 may be formed using physical vapor deposition (PVD), chemical vapor deposition (CVD), electron beam evaporation, and/or other suitable processes. In some embodiments, sidewall spacers 111 are formed on sidewalls of the gate structure 108. The sidewall spacers 111 may include dielectric materials such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride or combinations thereof.
In some embodiments, a photoresist layer is formed on the hard mask layer 114 in a subsequent process. A part of the photoresist layer is removed through photolithography, so that the photoresist layer has an opening corresponding to the at least one fin 104 to be removed. At least one fin 104′ in the multi-fin structure and the gate dielectric layer 110, gate electrode layer 112 and hard mask layer 114 covering the fin 104′ can be removed by an etching process. Etching processes include plasma etching processes, wet chemical etching processes, and/or other types of etching processes. After one fin of the multi-fin structure is etched, the number of fins is reduced, for example, the original fin number of 2 is reduced to 1.5 or a single fin, and the original fin number of 3 is reduced to 2, 2.5 or 1.5 fin, which can reduce the current leakage and power consumption issues of the conventional FinFET device with multiple fins and can also avoid the reduction of the speed of the conventional FinFET device with single fin.
Referring to
Referring to
Referring to
In
In some embodiments, referring to
In
In
Referring to
Next, the first conductive metal 122a and/or the second conductive metal 122b are formed in the interlayer dielectric layer 120. The first and second conductive metals 122a and 122b may include Cu, Ni, Co, Ru, Ir, Al, Pt, Pd, Au, Ag, Os, W, Mo or related alloys. The first and second conductive metals 122a and 122b can be deposited at a temperature between 450° C. and 300° C. through atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless plating (ELD), electrochemical electroless plating (ECP) or other suitable processes.
In some embodiments, a barrier layer 123 may be formed between the interlayer dielectric layer 120 and the respective conductive metal. In some embodiments, the conductive metal can be formed in the interlayer dielectric layer 120 by dual damascene, single damascene, half damascene or other suitable processes. Taking the single damascene process as an example, a contact etch stop layer (CESL) 121 and an interlayer dielectric layer 120 are sequentially deposited, and the interlayer dielectric layer 120 is etched to form openings according to a predefined pattern. Then, a barrier layer 123 is deposited in the opening, and a conductive material (e.g., copper) is deposited on the barrier layer 123. The conductive material is deposited on the barrier layer 123 in the opening. A seed layer can be formed on the barrier layer 123 through a physical vapor deposition (PVD) process, and then a conductive material can be formed on the seed layer through an electrodeposition process. Afterwards, the upper surfaces of the conductive materials are planarized so that the upper surfaces of the first and second conductive metals 122a and 122b, the barrier layer 123 and the interlayer dielectric layer 120 are substantially coplanar.
In some embodiments, a metal layer 124 is formed on the first conductive metal 122a, the second conductive metal 122b and the interlayer dielectric layer 120. Before a conductive material is deposited on the interlayer dielectric layer 120, a seed layer can be formed on the interlayer dielectric layer 120 through a physical vapor deposition (PVD) process, and then the conductive material can be formed on the seed layer through an electrodeposition process. Afterwards, the upper surface of the conductive material is planarized to form the metal layer 124. The material of the metal layer 124 may include Cu, Ni, Co, Ru, Ir, Al or related alloys.
In some embodiments, two semiconductor devices having different numbers of fins may share the same metal layer 124. The metal layer 124 is electrically connected to the gate structure 108 through the first conductive metal 122a and the second conductive metal 122b respectively. As shown in
The present disclosure relates to a semiconductor device and a manufacturing method thereof, which are used to reduce power consumption by reducing the number or height of fins in the gate structure to reduce the current passing through the channel region. Therefore, it is not necessary to use traditional photoetching and implant layers to fine-tune the semiconductor device, and thereby the cost and time of the manufacturing process for the semiconductor device can be reduced.
According to some embodiments of the present disclosure, a semiconductor device is provided. The semiconductor device includes a source region, a drain region, a channel region and a plurality of fins. The channel region is located between the source region and the drain region, and the fins pass through the source region, the drain region and the channel region, wherein a number of the fins located in the source region and the drain region and a number of the fins located in the channel region are not equal.
According to some embodiments of the present disclosure, a semiconductor device is provided. The semiconductor device includes a source region, a drain region, a channel region and a plurality of fins. The channel region is located between the source region and the drain region, and the fins pass through the source region, the drain region and the channel region, wherein heights of the fins located in the channel region are not equal.
According to some embodiments of the present disclosure, a manufacturing method of a semiconductor device is provided. The semiconductor device includes a substrate, a plurality of fins extending upward from the substrate, and a gate structure covering the fins. The manufacturing method of the semiconductor device includes the following steps. a photoresist layer is formed on the gate structure, a part of the photoresist layer is developed and removed, so that the photoresist layer is patterned to form an opening corresponding to a top location of a first fin to be removed among the fins. The first fin and the gate structure covering the first fin are etched to form a recessed region in the gate structure. An insulating material is filled into the recessed region.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.