As semiconductor device manufacturing advances and technology processing nodes decrease in size, transistors may become affected by short channel effects (SCEs) such as hot carrier degradation, barrier lowering, and quantum confinement, among other examples. In addition, as the gate length of a transistor is reduced for smaller technology nodes, source/drain (S/D) electron tunneling increases, which increases the off current for a transistor (the current that flows through the channel of the transistor when the transistor is in an off configuration). Silicon (Si)/silicon germanium (SiGe) nanostructure transistors such as nanowires, nanosheets, and gate-all-around (GAA) devices are potential candidates to overcome short channel effects at smaller technology nodes. Nanostructure transistors are efficient structures that may experience reduced SCEs and enhanced carrier mobility relative to other types of transistors.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Nanostructure transistors may be implemented, for example, in cases where fin field effect transistors (finFETs) cannot meet performance parameters for a semiconductor device. However, nanostructure transistor fabrication may be challenging and complex, particularly as device sizes and processing node sizes continue to decrease. For example, defects in a nanostructure transistor process flow can occur during formation of source/drain (S/D) region. The defects resulting from a non-merged epitaxial layer (e.g., an epitaxially layer in which non-growth in one or more areas of a source/drain region is experienced). Moreover, the defects may include nodules that are formed in the source/drain region, which can cause bridging during formation of the source/drain region. The defects may result in increased semiconductor device failures, reduced semiconductor device yield, and/or reduced semiconductor device performance, among other examples.
Some implementations described herein provide techniques and semiconductor devices in which inner spacers (InSPs) and source/drain regions are formed in a manner that provides reduced likelihood of defect formation in a nanostructure transistor. In some implementations, an inner spacer is formed to a length that reduces the likelihood of non-growth in an epitaxial layer of a source/drain region of a nanostructure transistor. This reduces the likelihood that portions of the epitaxial layer become non-merged, which in turn reduces the likelihood of void formation in the source/drain region. Moreover, the epitaxial layer may be formed using a cyclic deposition and etch technique, which enables conformal growth of the epitaxial layer to further reduce the likelihood of void formation and to reduce the likelihood of nodule formation in the source/drain region. The reduction in defects may decrease semiconductor device failure, increase semiconductor device yield, and/or increase semiconductor device performance, among other examples.
The deposition tool 102 is a semiconductor processing tool that includes a semiconductor processing chamber and one or more devices capable of depositing various types of materials onto a substrate. In some implementations, the deposition tool 102 includes a spin coating tool that is capable of depositing a photoresist layer on a substrate such as a wafer. In some implementations, the deposition tool 102 includes a chemical vapor deposition (CVD) tool such as a plasma-enhanced CVD (PECVD) tool, a high-density plasma CVD (HDP-CVD) tool, a sub-atmospheric CVD (SACVD) tool, a low-pressure CVD (LPCVD) tool, an atomic layer deposition (ALD) tool, a plasma-enhanced atomic layer deposition (PEALD) tool, or another type of CVD tool. In some implementations, the deposition tool 102 includes a physical vapor deposition (PVD) tool, such as a sputtering tool or another type of PVD tool. In some implementations, the deposition tool 102 includes an epitaxial tool that is configured to form layers and/or regions of a device by epitaxial growth. In some implementations, the example environment 100 includes a plurality of types of deposition tools 102.
The exposure tool 104 is a semiconductor processing tool that is capable of exposing a photoresist layer to a radiation source, such as an ultraviolet light (UV) source (e.g., a deep UV light source, an extreme UV light (EUV) source, and/or the like), an x-ray source, an electron beam (e-beam) source, and/or the like. The exposure tool 104 may expose a photoresist layer to the radiation source to transfer a pattern from a photomask to the photoresist layer. The pattern may include one or more semiconductor device layer patterns for forming one or more semiconductor devices, may include a pattern for forming one or more structures of a semiconductor device, may include a pattern for etching various portions of a semiconductor device, and/or the like. In some implementations, the exposure tool 104 includes a scanner, a stepper, or a similar type of exposure tool.
The developer tool 106 is a semiconductor processing tool that is capable of developing a photoresist layer that has been exposed to a radiation source to develop a pattern transferred to the photoresist layer from the exposure tool 104. In some implementations, the developer tool 106 develops a pattern by removing unexposed portions of a photoresist layer. In some implementations, the developer tool 106 develops a pattern by removing exposed portions of a photoresist layer. In some implementations, the developer tool 106 develops a pattern by dissolving exposed or unexposed portions of a photoresist layer through the use of a chemical developer.
The etch tool 108 is a semiconductor processing tool that is capable of etching various types of materials of a substrate, wafer, or semiconductor device. For example, the etch tool 108 may include a wet etch tool, a dry etch tool, and/or the like. In some implementations, the etch tool 108 includes a chamber that is filled with an etchant, and the substrate is placed in the chamber for a particular time period to remove particular amounts of one or more portions of the substrate. In some implementations, the etch tool 108 may etch one or more portions of the substrate using a plasma etch or a plasma-assisted etch, which may involve using an ionized gas to isotropically or directionally etch the one or more portions.
The planarization tool 110 is a semiconductor processing tool that is capable of polishing or planarizing various layers of a wafer or semiconductor device. For example, a planarization tool 110 may include a chemical mechanical planarization (CMP) tool and/or another type of planarization tool that polishes or planarizes a layer or surface of deposited or plated material. The planarization tool 110 may polish or planarize a surface of a semiconductor device with a combination of chemical and mechanical forces (e.g., chemical etching and free abrasive polishing). The planarization tool 110 may utilize an abrasive and corrosive chemical slurry in conjunction with a polishing pad and retaining ring (e.g., typically of a greater diameter than the semiconductor device). The polishing pad and the semiconductor device may be pressed together by a dynamic polishing head and held in place by the retaining ring. The dynamic polishing head may rotate with different axes of rotation to remove material and even out any irregular topography of the semiconductor device, making the semiconductor device flat or planar.
The plating tool 112 is a semiconductor processing tool that is capable of plating a substrate (e.g., a wafer, a semiconductor device, and/or the like) or a portion thereof with one or more metals. For example, the plating tool 112 may include a copper electroplating device, an aluminum electroplating device, a nickel electroplating device, a tin electroplating device, a compound material or alloy (e.g., tin-silver, tin-lead, and/or the like) electroplating device, and/or an electroplating device for one or more other types of conductive materials, metals, and/or similar types of materials.
Wafer/die transport tool 114 includes a mobile robot, a robot arm, a tram or rail car, an overhead hoist transport (OHT) system, an automated materially handling system (AMHS), and/or another type of device that is configured to transport substrates and/or semiconductor devices between semiconductor processing tools 102-112, that is configured to transport substrates and/or semiconductor devices between processing chambers of the same semiconductor processing tool, and/or that is configured to transport substrates and/or semiconductor devices to and from other locations such as a wafer rack, a storage room, and/or the like. In some implementations, wafer/die transport tool 114 may be a programmed device that is configured to travel a particular path and/or may operate semi-autonomously or autonomously. In some implementations, the environment 100 includes a plurality of wafer/die transport tools 114.
For example, the wafer/die transport tool 114 may be included in a cluster tool or another type of tool that includes a plurality of processing chambers, and may be configured to transport substrates and/or semiconductor devices between the plurality of processing chambers, to transport substrates and/or semiconductor devices between a processing chamber and a buffer area, to transport substrates and/or semiconductor devices between a processing chamber and an interface tool such as an equipment front end module (EFEM), and/or to transport substrates and/or semiconductor devices between a processing chamber and a transport carrier (e.g., a front opening unified pod (FOUP)), among other examples. In some implementations, a wafer/die transport tool 114 may be included in a multi-chamber (or cluster) deposition tool 102, which may include a pre-clean processing chamber (e.g., for cleaning or removing oxides, oxidation, and/or other types of contamination or byproducts from a substrate and/or semiconductor device) and a plurality of types of deposition processing chambers (e.g., processing chambers for depositing different types of materials, processing chambers for performing different types of deposition operations). In these implementations, the wafer/die transport tool 114 is configured to transport substrates and/or semiconductor devices between the processing chambers of the deposition tool 102 without breaking or removing a vacuum (or an at least partial vacuum) between the processing chambers and/or between processing operations in the deposition tool 102, as described herein.
The number and arrangement of devices shown in
The semiconductor device 200 includes a substrate 202. The substrate 202 includes a silicon (Si) substrate, a substrate formed of a material including silicon, a III-V compound semiconductor material substrate such as gallium arsenide (GaAs), a silicon on insulator (SOI) substrate, a germanium substrate (Ge), a silicon germanium (SiGe) substrate, a silicon carbide (SiC) substrate, or another type of semiconductor substrate. The substrate 202 may include various layers, including conductive or insulating layers formed on a semiconductor substrate. The substrate 202 may include a compound semiconductor and/or an alloy semiconductor. The substrate 202 may include various doping configurations to satisfy one or more design parameters. For example, different doping profiles (e.g., n-wells, p-wells) may be formed on the substrate 202 in regions designed for different device types (e.g., p-type metal-oxide semiconductor (PMOS) nanostructure transistors, n-type metal-oxide semiconductor (NMOS) nanostructure transistors). The suitable doping may include ion implantation of dopants and/or diffusion processes. Further, the substrate 202 may include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or may have other suitable enhancement features. The substrate 202 may include a portion of a semiconductor wafer on which other semiconductor devices are formed.
Fin structures 204 are included above (and/or extend above) the substrate 202. A fin structure 204 provide a structure on which layers and/or other structures of the semiconductor device 200 are formed, such as epitaxial regions and/or gate structures, among other examples. In some implementations, the fin structures 204 include the same material as the substrate 202 and are formed from the substrate 202. In some implementations, the fin structures 204 include silicon (Si) materials or another elementary semiconductor material such as germanium (Ge). In some implementations, the fin structures 204 include an alloy semiconductor material such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP), gallium indium arsenide phosphide (GaInAsP), or a combination thereof.
The fin structures 204 are fabricated by suitable semiconductor process techniques, such as masking, photolithography, and/or etch processes, among other examples. As an example, the fin structures 204 may be formed by etching a portion of the substrate 202 away to form recesses in the substrate 202. The recesses may then be filled with isolating material that is recessed or etched back to form shallow trench isolation (STI) regions 206 above the substrate 202 and between the fin structures 204. Other fabrication techniques for the STI regions 206 and/or for the fin structures 204 may be used. The STI regions 206 may electrically isolate adjacent fin structures 204 and may provide a layer on which other layers and/or structures of the semiconductor device 200 are formed. The STI regions 206 may include a dielectric material such as a silicon oxide (SiOx), a silicon nitride (SixNy), a silicon oxynitride (SiON), fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. The STI regions 206 may include a multi-layer structure, for example, having one or more liner layers.
The semiconductor device 200 includes a plurality of channels 208 that extend between, and are electrically coupled with, source/drain regions 210. The channels 208 include silicon-based nanostructures (e.g., nanosheets or nanowires, among other examples) that function as the semiconductive channels of the nanostructure transistor(s) of the semiconductor device 200. The channels 208 may include silicon germanium (SiGe) or another silicon-based material. The source/drain regions 210 include silicon (Si) with one or more dopants, such as a p-type material (e.g., boron (B) or germanium (Ge), among other examples), an n-type material (e.g., phosphorous (P) or arsenic (As), among other examples), and/or another type of dopant. Accordingly, the semiconductor device 200 may include p-type metal-oxide semiconductor (PMOS) nanostructure transistors that include p-type source/drain regions 210, n-type metal-oxide semiconductor (NMOS) nanostructure transistors that include n-type source/drain regions 210, and/or other types of nanostructure transistors.
At least a subset of the channels 208 extend through one or more gate structures 212. The gate structures 212 may be formed of one or more metal materials, one or more high dielectric constant (high-k) materials, and/or one or more other types of materials. In some implementations, dummy gate structures (e.g., polysilicon (PO) gate structures or another type of gate structures) are formed in the place of (e.g., prior to formation of) the gate structures 212 so that one or more other layers and/or structures of the semiconductor device 200 may be formed prior to formation of the gate structures 212. This reduces and/or prevents damage to the gate structures 212 that would otherwise be caused by the formation of the one or more layers and/or structures. A replacement gate process (RGP) is then performed to remove the dummy gate structures and replace the dummy gate structures with the gate structures 212 (e.g., replacement gate structures).
As further shown in
Some source/drain regions 210 and gate structures 212 may be shared between two or more nanoscale transistors of the semiconductor device 200. In these implementations, one or more source/drain regions 210 and a gate structure 212 may be connected or coupled to a plurality of channels 208, as shown in the example in
The semiconductor device 200 may also include a dielectric layer 214 above the STI regions 206. The dielectric layer 214 may include an inter-layer dielectric (ILD) layer ad may be referred to as an ILDO layer. The dielectric layer 214 surrounds the gate structures 212 to provide electrical isolation and/or insulation between the gate structures 212 and/or the source/drain regions 210, among other examples. Conductive structures such as contacts and/or interconnects may be formed through the dielectric layer 214 to the source/drain regions 210 and the gate structures 212 to provide control of the source/drain regions 210 and the gate structures 212.
As indicated above,
The layer stack 302 includes a plurality of alternating layers. The alternating layers include a plurality of first layers 304 and a plurality of second layers 306. The quantity of first layers 304 and the quantity of second layers 306 illustrated in
The first layers 304 include a first material composition, and the second layers 306 include a second material composition. In some implementations, the first material composition and second material composition are the same material composition. In some implementations, the first material composition and second material composition are different material compositions. As an example, the first layers 304 may include silicon germanium (SiGe) and the second layers 306 may include silicon (Si). In some implementations, the first material composition and the second material composition have different oxidation rates and/or etch selectivity.
As described herein, the second layers 306 may be processed to form the channel 208 for subsequently-formed nanostructure transistors of the semiconductor device 200. The first layers 304 are eventually removed and serve to define a vertical distance between adjacent channel 208 for subsequently-formed nanostructure transistors of the semiconductor device 200. Accordingly, the first layers 304 may also be referred to as sacrificial layers, and second layers 306 may be referred to as channel layers.
The deposition tool 102 deposits and/or grows the alternating layers that include nanostructures (e.g., nanosheets) on the substrate 202. For example, the deposition tool 102 grows the alternating layers by epitaxial growth. However, other processes may be used to form the alternating layers of the layer stack 302. Epitaxial growth of the alternating layers of the layer stack 302 may be performed by a molecular beam epitaxy (MBE) process, a metalorganic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes. In some implementations, the epitaxially grown layers such as the second layers 306 include the same material as the material of the substrate 202. In some embodiments, the first layers 304 and/or the second layers 306 include a material that is different from the material of the substrate 202. As described above, in some implementations, the first layers 304 include epitaxially grown silicon germanium (SiGe) layers and the second layers 306 include epitaxially grown silicon (Si) layers. Alternatively, the first layers 304 and/or the second layers 306 may include other materials such as germanium (Ge), a compound semiconductor such as silicon carbide (SiC), gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), indium antimonide (InSb), an alloy semiconductor such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), indium gallium arsenide (InGaAs), gallium indium phosphide (GaInP), gallium indium arsenide phosphide (GaInAsP), and/or a combination thereof. The materials of the first layers 304 and/or the materials of the second layers 306 may be chosen based on providing different oxidation properties, different etching selectivity properties, and/or other different properties.
In some implementations, the deposition tool 102 forms a hard mask (HM) layer over the layer stack 302 prior to patterning the fin structures 204. In some implementations, the hard mask layer includes an oxide layer (e.g., a pad oxide layer that may include silicon dioxide (SiO2) or another material) and a nitride layer (e.g., a pad nitride layer that may include a silicon nitride such as Si3N4 or another material) formed over the oxide layer. The oxide layer may function as an adhesion layer between the layer stack 302 and the nitride layer, and may act as an etch stop layer for etching the nitride layer. In some implementations, the hard mask layer includes a thermally grown oxide, a chemical vapor deposition (CVD)-deposited oxide, and/or an atomic layer deposition (ALD)-deposited oxide, among other examples. In some implementations, the hard mask layer includes a nitride layer deposited by CVD and/or another suitable technique.
The fin structures 204 may subsequently be fabricated using suitable processes including photolithography and etch processes. In some implementations, the deposition tool 102 forms a photoresist layer over and/or on the hard mask layer, the exposure tool 104 exposes the photoresist layer to radiation (e.g., deep ultraviolet (UV) radiation, extreme UV (EUV) radiation), a post-exposure bake process is performed (e.g., to remove residual solvents from the photoresist layer), and the developer tool 106 develops the photoresist layer to form a masking element (or pattern) in the photoresist layer. In some embodiments, patterning the photoresist layer to form the masking element may be performed using an electron beam (e-beam) lithography process. The masking element may then be used to protect portions of the substrate 202 and portions of the layer stack 302 in an etch operation such that the portions of the substrate 202 and portions of the layer stack 302 remain non-etched to form the fin structures 204. Unprotected portions of the substrate and unprotected portions of the layer stack 302 are etched (e.g., by the etch tool 108) to form trenches in the substrate 202. The etch tool may etch the unprotected portions of the substrate and unprotected portions of the layer stack 302 using a dry etch technique (e.g., reactive ion etching), a wet etch technique, and/or a combination thereof.
In some implementations, another fin formation technique is used to form the fin structures 204. For example, a fin region may be defined (e.g., by mask or isolation regions) and, and the portions 308 may be epitaxially grow in the form of the fin structure 204. In some embodiments, forming the fin structures 204 includes a trim process to decrease the width of the fin structures 204. The trim process may include wet and/or dry etching processes, among other examples.
As further shown in
The dielectric layer includes a dielectric material such as a silicon oxide (SiOx), a silicon nitride (SixNy), a silicon oxynitride (SiON), fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. In some embodiments, the dielectric layer (and subsequently formed STI regions 206) may include a multi-layer structure, for example, having one or more liner layers.
After deposition of the dielectric layer, the planarization tool 110 performs a planarization or polishing operation (e.g., a CMP operation) to planarize the dielectric layer. The hard mask layer may function as a CMP stop layer in the operation. In other words, the planarization tool 110 planarizes the dielectric layer until reaching the hard mask layer. An etch back operation is then performed to remove portions of the dielectric layer to form the STI regions 206. The etch tool 108 may etch the dielectric layer in the etch back operation to form the STI regions 206. The etch tool 108 etches the dielectric layer based on the pattern in the hard mask layer. The etch tool 108 etches the dielectric layer such that the height of the STI regions 206 are less than or approximately a same height as the bottom of the portions 308 of the layer stack 302. Accordingly, the portions 306 of the layer stack 302 extend above the STI regions 206.
The hard mask layer may also be removed before, during, and/or after the etch back operation to form the STI regions 206. The hard mask layer may be removed, for example, by a wet etching process using phosphoric acid (H3PO4) or other suitable etchants. In some implementations, the hard mask layer is removed by the same etchant used to form the STI regions 206.
A dummy gate structure 312 may include a gate electrode layer 314, a hard mask layer 316 over and/or on the gate electrode layer 314, and spacer layers 318 on opposing sides of the gate electrode layer 314 and on opposing sides of the hard mask layer 316. In some implementations, a dummy gate structure 312 includes additional layers such as a gate dielectric layer between the portions 308 of the layer stack 302 and the gate electrode layer 314. The gate electrode layer 314 includes polycrystalline silicon (polysilicon or PO) or another material. The hard mask layer 316 includes one or more layers such as an oxide layer (e.g., a pad oxide layer that may include silicon dioxide (SiO2) or another material) and a nitride layer (e.g., a pad nitride layer that may include a silicon nitride such as Si3N4 or another material) formed over the oxide layer. The gate dielectric layer may include a silicon oxide (e.g., SiOx such as SiO2), a silicon nitride (e.g., SixNy such as Si3N4), a high-K dielectric material and/or other suitable material. The spacer layers 318 include a silicon oxycarbide (SiOC), a nitrogen free SiOC, or another suitable material. The gate dielectric layer may be included to prevent damage to the structures by subsequent processes (e.g., subsequent formation of the dummy gate structures 312).
The layers of the dummy gate structures 312 may be formed using various semiconductor processing techniques such as deposition (e.g., by the deposition tool 102), pattering (e.g., by the exposure tool 104 and the developer tool 106), and/or etching (e.g., by the etch tool 108), among other examples. Examples include CVD, PVD, ALD, thermal oxidation, c-beam evaporation, photolithography, e-beam lithography, photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, photoresist developing, rinsing, drying (e.g., spin-drying and/or hard baking), dry etching (e.g., reactive ion etching), and/or wet etching, among other examples.
In some implementations, the gate dielectric layer of the dummy gate structures 312 is conformally deposited on the semiconductor device 200 and then selectively removed from portions of the semiconductor device 200 (e.g., the source/drain areas). The gate electrode layer 314 is then deposited onto the remaining portions of the gate dielectric layer. The hard mask layers 316 are then deposited onto the gate electrode layers 314. The spacer layers 318 may be conformally deposited in a similar manner as the gate dielectric layer. In some implementations, the spacer layers 318 include a plurality of types of spacer layers. For example, the spacer layers 318 may include a seal spacer layer that is formed on the sidewalls of the dummy gate structures 312 and bulk spacer layer that is formed on the seal spacer layer. The seal spacer layer and the bulk spacer layer may be formed of similar materials or different materials. In some implementations, the bulk spacer layer is formed without plasma surface treatment that is used for the seal spacer layer. In some implementations, the bulk spacer layer is formed to a greater thickness relative to the thickness of the seal spacer layer.
As further shown in
As further shown in
As further shown in
The material (e.g., silicon (Si), gallium (Ga), or another type of semiconductor material) that is used to form the source/drain regions 210 may be doped with a p-type dopant (e.g., a type of dopant that includes electron acceptor atoms that create holes in the material), with an n-type dopant (e.g., a type of dopant that includes electron donor atoms that create mobile electrons in the material), and/or with another type of dopant. The material may be doped by adding impurities (e.g., the p-type dopant, the n-type dopant) to a source gas that is used during the epitaxial operation. Examples of p-type dopants that may be used in the epitaxial operation include boron (B) or germanium (Ge), among other examples. The resulting material of p-type source/drain regions include silicon germanium (SixGe1-x, where x can be in a range from approximately 0 to approximately 100) or another type of p-doped semiconductor material. Examples of n-type dopants that may be used in the epitaxial operation include phosphorous (P) or arsenic (As), among other examples. The resulting material of n-type source/drain regions include silicon phosphide (SixPy) or another type of n-doped semiconductor material.
Removal of the dummy gate structures 312 exposes the channels 208 between the spacer layers 318. This enables the replacement gate structures to be formed around the channels 208 (e.g., on all 4 sides around the channels 208) in the areas that the first layers 304 previously occupied between the channels 208. The channels 208 include nanowire structures. The term nanowire is used herein to designate any material portion with nanoscale, or even microscale dimensions, and having an elongated shape, regardless of the cross-sectional shape of this portion. Thus, the term nanowire, as used herein, designates circular (or substantially circular) cross-sectionally elongated material portions, beam or bar-shaped material portions including for example a cylindrical shape or a substantially rectangular cross-section, and/or another similar shape.
As indicated above,
As shown in
In some implementations, the gate dielectric layer 402 is conformally deposited on the semiconductor device 200 and then selectively removed from portions of the semiconductor device 200 (e.g., the source/drain areas). The gate electrode layer 314 is deposited onto the gate dielectric layer 402 (e.g., before or after the gate dielectric layer 402 is etched). The hard mask layers 316 and 404 are deposited onto the gate electrode layer 314. The spacer layers 318 are conformally deposited in a similar manner as the gate dielectric layer 402.
As further shown in
As further shown in
In implementations in which the substrate 202 includes a silicon (Si) material having a (100) orientation, (111) faces are formed at the bottom 406 of the source/drain recesses 320, resulting in formation of a V-shape or a triangular shape cross section at the bottom 406 of the source/drain recesses 320. In some embodiments, a wet etching using tetramethylammonium hydroxide (TMAH) and/or a chemical dry etching using hydrochloric acid (HCl) are employed to form the V-shape profile.
As further shown in
As shown in
The cavities 322 may be formed to an approximately curved shape. In some implementations, the depth of one or more of the cavities 322 (e.g., the dimension of the cavities extending into the first layers 304 from the source/drain recesses 320) is in a range of approximately 0.5 nanometers to about 5 nanometers. In some implementations, the depth of one or more of the cavities 322 is in a range of approximately 1 nanometer to approximately 3 nanometers. However, other values for the depth of the cavities 322 are within the scope of the present disclosure.
The etch tool 108 forms the cavities 322 to a length (e.g., the dimension of the cavities extending from a channel 208 below a first layer 304 to another channel 208 above the first layer 304) such that the cavities 322 partially extend into the ends of the channels 208 (e.g., such that the width or length of the cavities 322 are greater than the thickness of the first layers 304). In this way, the inner spacers that are to be formed in the cavities 322 may extend into a portion of the ends of the channels 208.
As shown in
The deposition tool 102 forms the layer 410 to a thickness sufficient to fill in the cavities 322 between the channels 208 with the layer 410. For example, the insulating layer 410 may be formed to a thickness in a range of approximately 1 nanometer to approximately 10 nanometers. As another example, the insulating layer 410 is formed to a thickness in a range of approximately 2 nanometers to approximately 5 nanometers. However, other values for the thickness of the insulating layer 410 are within the scope of the present disclosure.
As shown in
As further shown in the close-up view in
In some implementations, the thickness (T1) of the channels 208 is in a range of approximately 8 nanometers to approximately 12 nanometers to permit reduced device sizes in the semiconductor device 200 while maintaining sufficient device performance. However, other values for the thickness (T1) are within the scope of the present disclosure. In some implementations, the thickness (T2) of the first layers 304 is in a range of approximately 4 nanometers to approximately 7 nanometers to permit reduced device sizes in the semiconductor device 200 while providing sufficient area in which to form the gate structures 212. However, other values for the thickness (T2) are within the scope of the present disclosure. The thickness (T1) may be greater relative to the thickness (T2). In some implementations, a ratio of the thickness (T1) to the thickness (T2) is in a range of approximately 1.2 to approximately 1.8 to provide sufficient area for formation of the gate structures 212 while providing sufficient channel performance. However, other values for the ratio are within the scope of the present disclosure. In some implementations, a difference between the thickness (T1) and the thickness (T2) is in a range of approximately 1 nanometer to approximately 5 nanometers. However, other values for the difference are within the scope of the present disclosure.
In some implementations, the length (L1) of the inner spacers 324 is in a range of approximately 6 nanometers to approximately 8 nanometers to provide sufficient gate-to-source/drain isolation and to reduce the likelihood of void formation in the source/drain regions 210. In particular, the likelihood of void formation resulting from non-growth and/or non-merged layers in the source/drain regions 210 may greatly increase as the length (L1) increases above 8 nanometers. However, other values for the length (L1) are within the scope of the present disclosure. As described above, the inner spacers 324 may be formed such that the length (L1) is greater relative to the thickness (T2) of the first layers 304. In some implementations, a ratio of the length (L1) to the thickness (T2) is in a range of approximately 1.05 to approximately 1.5 to minimize residual silicon germanium (SiGe) that remains after removing the first layers 304, to maintain sufficient channel 208 width for device performance, and to reduce the likelihood of void formation in the source/drain regions 210. However, other values for the ratio are within the scope of the present disclosure. In some implementations, a difference between the length (L1) and the thickness (T2) is in a range of approximately 0.1 nanometers to approximately 2 nanometers. However, other values for the difference are within the scope of the present disclosure.
As indicated above,
As shown in
As further shown in
The deposition tool 102 may deposit the buffer layer 502 using a CVD technique, a PVD technique, an ALD technique, an epitaxial growth technique, and/or another deposition technique. Deposition of the buffer layer 502 may be performed at a temperature in a range of approximately 650 degrees Celsius to approximately 750 degrees Celsius, may be performed at a pressure in a range of approximately 10 torr to approximately 300 torr, and/or using one or more other processing parameters. Precursors and/or process gasses that may be used in the deposition of the buffer layer 502 include germanium tetrahydride (GeH4), hydrochloric acid (HCl), silicon tetrahydride (SiH4), dichlorosilane (DCS or SiH2Cl2), phosphine (PH3), diborane (B2H6), boron trichloride (BCl3), hydrogen (H2), and/or nitrogen (N2), among other examples. In some implementations, the buffer layer 502 is formed such that a top surface of the buffer layer 502 exposed in the source/drain recess 320 includes a (100) grain orientation.
The buffer layer 502 may include silicon (Si), silicon germanium (SiGe), silicon doped with boron (SiB) or another dopant, and/or another material. In implementations in which the buffer layer 502 includes silicon germanium, the germanium (Ge) concentration in the buffer layer 502 may be in a range of approximately 1% germanium to approximately 10% germanium. However, other values for the germanium concentration are within the scope of the present disclosure.
As shown in
The deposition tool 102 may deposit the first layer 504 using a CVD technique, a PVD technique, an ALD technique, an epitaxial growth technique, and/or another deposition technique. Deposition of the first layer 504 may be performed at a temperature in a range of approximately 600 degrees Celsius to approximately 700 degrees Celsius, may be performed at a pressure in a range of approximately 10 torr to approximately 300 torr, and/or using one or more other processing parameters. Precursors and/or process gasses that may be used in the deposition of the first layer 504 include germanium tetrahydride (GeH4), hydrochloric acid (HCl), silicon tetrahydride (SiH4), dichlorosilane (DCS or SiH2Cl2), phosphine (PH3), diborane (B2H6), boron trichloride (BCl3), hydrogen (H2), and/or nitrogen (N2), among other examples.
The deposition tool 102 and the etch tool 108 may perform a plurality of deposition and etch cycles to form the first layer 504. Each deposition and etch cycle includes a deposition operation and an etch operation. In some implementations, the deposition operation is performed first and the etch operation is performed second. In some implementations, the etch operation is performed first and the deposition operation is performed second. In some implementations, the deposition tool 102 and the etch tool 108 perform a quantity of deposition and etch cycles that is in a range of approximately 50 cycles to approximately 60 cycles to form the first layer 504 to a sufficient thickness, and such that a continuous layer of materials is formed for the first layer 504 without forming the first layer 504 too thick so as to cause issues with filling the remainder of the source/drain region 210 in the source/drain recess 320.
The deposition operation may include the deposition tool 102 depositing one or more silicon precursors (e.g., silicon tetrahydride (SiH4) and/or another silicon precursor), one or more germanium precursors (e.g., germanium tetrahydride (GeH4) and/or another germanium precursor), and/or one or more dopants (e.g., diborane (B2H6) and/or another dopant) using a process gas (e.g., hydrogen (H2) and/or another process gas). The etch operation may include the etch tool 108 using an etchant such as hydrochloric acid (HCl) and/or another etchant. The combination of deposition operations and etch operations in a cyclical manner increases control over the continuity of the first layer 504 and control over the thickness of the first layer 504. In particular, the use of silicon tetrahydride as a silicon precursor in the deposition operation increases the deposition rate of the first layer 504 increases the likelihood of forming a continuous layer of material for the first layer 504, and use of hydrochloric acid as an etchant facilitates maintaining a relatively low thickness for the first layer 504.
In some implementations, a combination of silicon tetrahydride and dichlorosilane (DCS or SiH2Cl2) is used to deposit the first layer 504. In these implementations, a ratio of silicon tetrahydride to dichlorosilane may be in a range of greater than approximately 5:1 to approximately 7:1 to increase the likelihood of forming a continuous layer of material for the first layer 504. However, other values for the ratio are within the scope of the present disclosure. In implementations in which a ratio between a dopant (e.g., diborane) and silicon precursor is in a particular range (e.g., approximately 0.1:1 to approximately 0.3:1 or another range), the ratio of dichlorosilane to silicon tetrahydride may be in a range of approximately 5:1 to approximately 10:1 to reduce defect formation and to provide sufficient deposition selectivity. However, other values for the ratio are within the scope of the present disclosure.
In some implementations, a deposition operation and an etch operation of a deposition and etch cycle may be performed using the same processing parameters (e.g., the same pressure, the same temperature). In some implementations, a deposition operation and an etch operation of a deposition and etch cycle may be performed using different processing parameters. For example, the etch operation may be performed at a greater temperature relative to the deposition operation. As another example, the etch operation may be performed at a greater pressure relative to the deposition operation. In some implementations, the deposition operation is performed at a temperature in a range of approximately 600 degrees Celsius to approximately 650 degrees Celsius whereas the etch operation is performed at a temperature in a range of approximately 630 degrees Celsius to approximately 680 degrees Celsius. However, other values for the temperatures of the deposition operation and the etch operation are within the scope of the present disclosure. In some implementations, the etch operation is performed at approximately twice the pressure as the deposition operation to control the etch direction in the etch operation.
The first layer 504 may include silicon (Si), silicon germanium (SiGe), doped silicon (e.g., silicon doped with arsenic (SiAs) or another dopant), doped silicon germanium (e.g., silicon germanium doped with boron (SiGe:B) or another dopant), and/or another material. In implementations in which the first layer 504 includes silicon germanium, the germanium (Ge) concentration in the first layer 504 may be in a range of approximately 20% germanium to approximately 40% germanium. However, other values for the germanium concentration are within the scope of the present disclosure. The first layer 504 may include a lightly doped layer. For example, the doping concentration of arsenic (As) of the first layer 504 (e.g., where the first layer 504 includes silicon) may be in a range of approximately 5×1020 atoms per cubic centimeter to approximately 2×1021 atoms per cubic centimeter. As another example, the doping concentration of boron (B) of the first layer 504 (e.g., where the first layer 504 includes silicon germanium) may be in a range of approximately 1×1020 atoms per cubic centimeter to approximately 8×1020 atoms per cubic centimeter. However, other values for the dopant range are within the scope of the present disclosure.
As shown in
As further shown in
As shown in
The deposition tool 102 may deposit the second layer 506 using a CVD technique, a PVD technique, an ALD technique, an epitaxial growth technique, and/or another deposition technique. Deposition of the second layer 506 may be performed at a temperature in a range of approximately 600 degrees Celsius to approximately 700 degrees Celsius, may be performed at a pressure in a range of approximately 10 torr to approximately 300 torr, and/or using one or more other processing parameters. Precursors and/or process gasses that may be used in the deposition of the second layer 506 include germanium tetrahydride (GeH4), hydrochloric acid (HCl), silicon tetrahydride (SiH4), dichlorosilane (DCS or SiH2Cl2), phosphine (PH3), diborane (B2H6), boron trichloride (BCl3), hydrogen (H2), and/or nitrogen (N2), among other examples.
The second layer 506 may include silicon (Si), silicon germanium (SiGe), doped silicon (e.g., silicon doped with phosphorous (SiP) or another dopant), doped silicon germanium (e.g., silicon germanium doped with boron (SiGe:B) or another dopant), and/or another material. In some implementations, the first layer 504 and the second layer 506 are formed of the same material. In some implementations, the first layer 504 and the second layer 506 are formed of different materials. In implementations in which the second layer 506 includes silicon germanium, the germanium (Ge) concentration in the second layer 506 may be in a range of approximately 40% germanium to approximately 60% germanium. However, other values for the germanium concentration are within the scope of the present disclosure. The second layer 506 may include a highly doped layer, and the doping concentration of the second layer 506 may be greater relative to the doping concentration of the first layer 504. For example, the doping concentration of boron (B) of the second layer 506 (e.g., where the second layer 506 includes silicon germanium) may be in a range of approximately 8×1020 atoms per cubic centimeter to approximately 3×1021 atoms per cubic centimeter. As another example, the doping concentration of phosphor (P) of the second layer 506 (e.g., where the second layer 506 includes silicon) may be in a range of approximately 1×1021 atoms per cubic centimeter to approximately 5×1021 atoms per cubic centimeter. However, other values for the dopant range are within the scope of the present disclosure.
As shown in
The deposition tool 102 may deposit the capping layer 508 using a CVD technique, a PVD technique, an ALD technique, an epitaxial growth technique, and/or another deposition technique. Deposition of the capping layer 508 may be performed at a temperature in a range of approximately 600 degrees Celsius to approximately 700 degrees Celsius, may be performed at a pressure in a range of approximately 10 torr to approximately 300 torr, and/or using one or more other processing parameters. Precursors and/or process gasses that may be used in the deposition of the capping layer 508 include germanium tetrahydride (GeH4), hydrochloric acid (HCl), silicon tetrahydride (SiH4), dichlorosilane (DCS or SiH2Cl2), phosphine (PH3), diborane (B2H6), boron trichloride (BCl3), hydrogen (H2), and/or nitrogen (N2), among other examples.
The capping layer 508 may include silicon (Si), silicon germanium (SiGe), doped silicon (e.g., silicon doped with phosphorous (SiP) or another dopant), doped silicon germanium (e.g., silicon germanium doped with boron (SiGe:B) or another dopant), and/or another material. In implementations in which the capping layer 508 includes silicon germanium, the germanium (Ge) concentration in the capping layer 508 may be in a range of approximately 45% germanium to approximately 55% germanium. However, other values for the germanium concentration are within the scope of the present disclosure. The capping layer 508 may be referred to as a lightly doped layer in that doping concentration (e.g., the boron (B) doping concentration of silicon germanium) of the capping layer 508 may be in a range of approximately 1×1021 atoms per cubic centimeter to approximately 2×1021 atoms per cubic centimeter. However, other values for the dopant range are within the scope of the present disclosure.
As indicated above,
As further shown in
As further shown in
Hybrid fin structures 602 are configured to provide electrical isolation between two or more structures and/or components included in the semiconductor device 200. In some implementations, a hybrid fin structure 602 is configured to provide electrical isolation between two or more fin structures 204 (e.g., two or more active fin structures). In some implementations, a hybrid fin structure 602 is configured to provide electrical isolation between two or more source/drain regions 210. In some implementations, a hybrid fin structure 602 is configured to provide electrical isolation between two or more gates structures 212 (which replace the dummy gate structures 312) or two or more portions of a gate structure 212. In some implementations, a hybrid fin structure 602 is configured to provide electrical isolation between a source/drain region 210 and a gate structure 212.
A hybrid fin structure 602 may include a plurality of types of dielectric materials. A hybrid fin structure 602 may include a combination of one or more low dielectric constant (low-k) dielectric layer 604 (e.g., a silicon oxide (SiOx) and/or a silicon nitride (SixNy), among other examples) and one or more high dielectric constant (high-k) dielectric layers 606 (e.g., a hafnium oxide (HfOx) and/or other high-k dielectric material) above the low-k dielectric layer 604.
As indicated above,
As shown in
In some implementations, a contact etch stop layer (CESL) is conformally deposited (e.g., by the deposition tool 102) over the source/drain regions 210, over the dummy gate structures 312, and on the spacer layers 318 prior to formation of the dielectric layer 214. The dielectric layer 214 is then formed on the CESL. The CESL may provide a mechanism to stop an etch process when forming contacts or vias for the source/drain regions 210. The CESL may be formed of a dielectric material having a different etch selectivity from adjacent layers or components. The CESL may include or may be a nitrogen containing material, a silicon containing material, and/or a carbon containing material. Furthermore, the CESL may include or may be silicon nitride (SixNy), silicon carbon nitride (SiCN), carbon nitride (CN), silicon oxynitride (SiON), silicon carbon oxide (SiCO), or a combination thereof, among other examples. The CESL may be deposited using a deposition process, such as ALD, CVD, or another deposition technique.
As shown in
As shown in
A cladding layer may be formed along the layer stack 302 (e.g., prior to formation of the dummy gate structures 312). The cladding layer may be formed of the same material as the first layers 304, and may provide a path for an etchant to reach the first layers 304 between the channels 208, when enables the nanostructure release operation to be performed.
As shown in
As shown in
In some implementations, a pattern in a photoresist layer is used to form the recess 704. In these implementations, the deposition tool 102 forms the photoresist layer on the dielectric layer 214 and on the gate structures 212. The exposure tool 104 exposes the photoresist layer to a radiation source to pattern the photoresist layer. The developer tool 106 develops and removes portions of the photoresist layer to expose the pattern. The etch tool 108 etches into the dielectric layer 214 to form the recess 704. In some implementations, the etch operation includes a plasma etch technique, a wet chemical etch technique, and/or another type of etch technique. In some implementations, a photoresist removal tool removes the remaining portions of the photoresist layer (e.g., using a chemical stripper, plasma ashing, and/or another technique). In some implementations, a hard mask layer is used as an alternative technique for forming the recess 704 based on a pattern.
As shown in
As shown in
As indicated above,
Bus 810 includes one or more components that enable wired and/or wireless communication among the components of device 800. Bus 810 may couple together two or more components of
Memory 830 includes volatile and/or nonvolatile memory. For example, memory 830 may include random access memory (RAM), read only memory (ROM), a hard disk drive, and/or another type of memory (e.g., a flash memory, a magnetic memory, and/or an optical memory). Memory 830 may include internal memory (e.g., RAM, ROM, or a hard disk drive) and/or removable memory (e.g., removable via a universal serial bus connection). Memory 830 may be a non-transitory computer-readable medium. Memory 830 stores information, instructions, and/or software (e.g., one or more software applications) related to the operation of device 800. In some implementations, memory 830 includes one or more memories that are coupled to one or more processors (e.g., processor 820), such as via bus 810.
Input component 840 enables device 800 to receive input, such as user input and/or sensed input. For example, input component 840 may include a touch screen, a keyboard, a keypad, a mouse, a button, a microphone, a switch, a sensor, a global positioning system sensor, an accelerometer, a gyroscope, and/or an actuator. Output component 850 enables device 800 to provide output, such as via a display, a speaker, and/or a light-emitting diode. Communication component 860 enables device 800 to communicate with other devices via a wired connection and/or a wireless connection. For example, communication component 860 may include a receiver, a transmitter, a transceiver, a modem, a network interface card, and/or an antenna.
Device 800 may perform one or more operations or processes described herein. For example, a non-transitory computer-readable medium (e.g., memory 830) may store a set of instructions (e.g., one or more instructions or code) for execution by processor 820. Processor 820 may execute the set of instructions to perform one or more operations or processes described herein. In some implementations, execution of the set of instructions, by one or more processors 820, causes the one or more processors 820 and/or the device 800 to perform one or more operations or processes described herein. In some implementations, hardwired circuitry is used instead of or in combination with the instructions to perform one or more operations or processes described herein. Additionally, or alternatively, processor 820 may be configured to perform one or more operations or processes described herein. Thus, implementations described herein are not limited to any specific combination of hardware circuitry and software.
The number and arrangement of components shown in
As shown in
As further shown in
As further shown in
As further shown in
As further shown in
As further shown in
Process 900 may include additional implementations, such as any single implementation or any combination of implementations described below and/or in connection with one or more other processes described elsewhere herein.
In a first implementation, performing a deposition and etch cycle of the plurality of deposition and etch cycles includes performing a deposition operation using one or more silicon precursors, and performing, after the deposition operation, an etch operation using hydrochloric acid (HCL). In a second implementation, alone or in combination with the first implementation, the one or more silicon precursors include dichlorosilane (DCS) and silicon tetrahydride (SiH4).
In a third implementation, alone or in combination with one or more of the first and second implementations, a ratio of DCS to SiH4 is in a range of approximately 5:1 to approximately 10:1. In a fourth implementation, alone or in combination with one or more of the first through third implementations, a quantity of the plurality of deposition and etch cycles are in a range of approximately 50 cycles to approximately 60 cycles.
Although
As shown in
As further shown in
As further shown in
As further shown in
As further shown in
As further shown in
As further shown in
Process 1000 may include additional implementations, such as any single implementation or any combination of implementations described below and/or in connection with one or more other processes described elsewhere herein.
In a first implementation, the buffer layer 502 includes a (100) grain orientation. In a second implementation, alone or in combination with the first implementation, the buffer layer 502 includes silicon (Si) or silicon germanium (SiGe), the continuous lightly doped silicon layer (e.g., the first layer 504) includes an arsenic-doped silicon (SiAs) or a boron-doped silicon germanium (SiGeB), and the highly doped silicon layer (e.g., the second layer 506) includes a phosphor-doped silicon (SiP) or a boron-doped silicon germanium (SiGeB).
In a third implementation, alone or in combination with one or more of the first and second implementations, the continuous lightly doped silicon layer (e.g., the first layer 504) functions as a shielding layer for the highly doped silicon layer (e.g., the second layer 506). In a fourth implementation, alone or in combination with one or more of the first through third implementations, the process 1000 includes forming a gate structure that includes a plurality of portions that wrap fully around the plurality of nanostructure channels, whereas length of at least a subset of the plurality of inner spacers is greater relative to a thickness of at least a subset of the plurality of portions of the gate structure. In a fifth implementation, alone or in combination with one or more of the first through fourth implementations, process 1000 includes forming a capping layer 508 on the highly doped silicon layer (e.g., the second layer 506), where the capping layer 508 includes a phosphor-doped silicon (SiP) or a boron-doped silicon germanium (SiGeB).
Although
In this way, inner spacers (InSPs) and source/drain regions are formed in a manner that provides reduced likelihood of defect formation in a nanostructure transistor. In some implementations, an inner spacer is formed to a length that reduces the likelihood of non-growth in an epitaxial layer of a source/drain region of a nanostructure transistor. This reduces the likelihood that portion of the epitaxial layer become non-merged, which in turn reduces the likelihood of void formation in the source/drain region. Moreover, the epitaxial layer may be formed using a cyclic deposition and etch technique, which enables conformal growth of the epitaxial layer to further reduce the likelihood of void formation and to reduce the likelihood of nodule formation in the source/drain region. The reduction in defects may decrease semiconductor device failure, increase semiconductor device yield, and/or increase semiconductor device performance, among other examples.
As described in greater detail above, some implementations described herein provide a semiconductor device. The semiconductor device includes a plurality of nanostructure channels above a portion of a fin structure. The semiconductor device includes a gate structure, where a plurality of portions of the gate structure wrap around the plurality of nanostructure channels over the portion of the fin structure. The semiconductor device includes a source/drain region adjacent to the plurality of nanostructure channels and adjacent to the portions of the gate structure. The semiconductor device includes a plurality of inner spacers between the plurality of portions of the gate structure and the source/drain region, where a length of at least a subset of the inner spacers is greater relative to a thickness of at least a subset of the plurality of portions of the gate structure, and where the length of at least the subset of the inner spacers is lesser relative to a thickness of the plurality of nanostructure channels.
As described in greater detail above, some implementations described herein provide a method. The method includes forming a fin structure including a first portion above a substrate and a second portion over the first portion. The method includes forming a source/drain recess in the second portion of the fin structure, where the second portion includes a plurality of sacrificial layers and a plurality of nanostructure channels that are arranged in an alternating manner. The method includes laterally etching the plurality of sacrificial layers through the source/drain recess to form cavities between end portions of the plurality of nanostructure channels. The method includes forming a plurality of inner spacers in the cavities between the plurality of nanostructure channels. The method includes performing a plurality of deposition and etch cycles to form a first layer of a source/drain region at a bottom of the source/drain recess and on sidewalls of the source/drain recess. The method includes forming a second layer of the source/drain region on the first layer.
As described in greater detail above, some implementations described herein provide a method. The method includes forming a fin structure that includes a first portion above a substrate and a second portion over the first portion. The method includes forming a source/drain recess in the second portion of the fin structure, where the second portion includes a plurality of sacrificial layers and a plurality of nanostructure channels that are arranged in an alternating manner. The method includes laterally etching the plurality of sacrificial layers through the source/drain recess to form cavities between the plurality of nanostructure channels. The method includes forming a plurality of inner spacers in the cavities between the plurality of nanostructure channels. The method includes forming a buffer layer at a bottom of the source/drain recess. The method includes forming a continuous lightly doped silicon layer of a source/drain region over the buffer layer and over the plurality of inner spacers in the source/drain recess. The method includes forming a highly doped silicon layer of the source/drain region on the continuous lightly doped silicon layer.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application is a divisional of U.S. patent application Ser. No. 17/650,312, filed Feb. 8, 2022, and entitled “SEMICONDUCTOR DEVICE AND METHODS OF FORMATION,” which is incorporated herein by reference in its entirety.
Number | Date | Country | |
---|---|---|---|
Parent | 17650312 | Feb 2022 | US |
Child | 18785024 | US |