Semiconductor devices employing a barrier layer

Abstract
A semiconductor device includes providing a workpiece including an insulating material layer disposed thereon. The insulating material layer includes a trench formed therein. A barrier layer on the sidewalls of the trench is formed using a surface modification process and a surface treatment process.
Description
BACKGROUND

Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment, as examples. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. Dozens or hundreds of integrated circuits are typically manufactured on a single semiconductor wafer. The individual dies are singulated by sawing the integrated circuits along a scribe line.


The semiconductor industry continues to improve the integration density of various electronic components, e.g., transistors, diodes, resistors, capacitors, conductive lines, vias, etc. of integrated circuits by continual reductions in minimum feature size, which allow more components to be integrated into a given area. Some recent reduced feature size conductive line and via designs utilize low dielectric constant (k) insulating materials having a dielectric constant less than a dielectric constant of silicon dioxide for insulating materials, and copper or copper alloys for conductive material. These material systems can present manufacturing challenges in some applications. As an example, copper tends to diffuse into some low k insulating materials, so that the use of barrier layers in the conductive line and via structures is required.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present disclosure, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:



FIGS. 1 through 8 are cross-sectional views of a semiconductor device at various stages of manufacturing in accordance with some embodiments of the present disclosure;



FIG. 9 is a diagram showing a chemical change of a damaged region of sidewalls of a trench in an insulating material layer after a surface modification process in accordance with an embodiment; and



FIG. 10 is a flow chart illustrating a method of manufacturing a semiconductor device in accordance with some embodiments.





Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the embodiments and are not necessarily drawn to scale.


DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

The making and using of some of the embodiments of the present disclosure are discussed in detail below. It should be appreciated, however, that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the disclosure, and do not limit the scope of the disclosure.



FIGS. 1 through 8 are cross-sectional views illustrating a semiconductor device at various stages of manufacturing in accordance with some embodiments of the present disclosure. Referring first to FIG. 1, there is shown a semiconductor device 100 that includes a workpiece 102. The workpiece 102 may include a semiconductor substrate comprising silicon or other semiconductor materials and may be covered by an insulating layer, for example. The workpiece 102 may also include other active components or circuits, not shown. The workpiece 102 may comprise silicon oxide over single-crystal silicon, for example. The workpiece 102 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon. The workpiece 102 may comprise a silicon-on-insulator (SOI) or a germanium-on-insulator (GOI) substrate, as examples.


A conductive material 103 is formed over the workpiece 102. The conductive material 103 comprises Cu, a Cu alloy, other metals, or combinations thereof. Alternatively, the conductive material 103 may comprise other materials. The conductive material 103 is patterned into desired conductive features (not shown), such as conductive lines or traces, resistors, capacitor plates, or inductors, as examples. Alternatively, the conductive material 103 may be patterned with other features. The conductive material 103 may be formed using subtractive techniques, by depositing the conductive material 103 over the workpiece 102 and patterning the conductive material 103 using lithography. An insulating material (not shown) is then formed between the conductive features of the conductive material 103. Alternatively, the conductive material 103 may be formed using a damascene technique, by forming an insulating material over the workpiece 102, patterning the insulating material, and filling the patterned insulating material with the conductive material 103. Alternatively, the conductive material 103 may be formed using other methods. The conductive material 103 comprises a thickness of about 10 to 10,000 nm in some embodiments. Alternatively, the conductive material 103 may comprise other dimensions.


An etch stop layer (ESL) 104 is formed over the conductive material 103 in some embodiments. The ESL 104 comprises SiN, SiC, tetraethyl orthosilicate (TEOS), other insulating materials, or combinations thereof. Alternatively, the ESL 104 may comprise other materials. The ESL 104 comprises a thickness of about 1 nm to 1,000 nm in some embodiments. Alternatively, the ESL 104 may comprise other dimensions. The ESL 104 is not included in some embodiments. The ESL 104 may alternatively or may also comprise an adhesion layer, for example.


An insulating material layer 106 is formed over the ESL 104, or over the conductive material 103 if the ESL 104 is not included. The insulating material layer 106 comprises a low dielectric constant (k) insulating material in some embodiments having a dielectric constant or k value lower than the k value of silicon dioxide, which is about 3.9. In some embodiments, the insulating material layer 106 comprises an extra-low dielectric constant (ELK) material having a dielectric constant of less than about 2.5, as another example. The insulating material layer 106 comprises a material such as boron-doped silicon glass (BSG), phosphosilicate glass (PSG), fluorinated silicate glass (FSG), black Diamond™ available from Applied Materials, or other materials having a dielectric constant that is lower than a dielectric constant of SiO2, as examples, although alternatively, the insulating material layer 106 may comprise other materials. The insulating material layer 106 comprises a thickness of about 10 nm to 1,000,000 nm in some embodiments. Alternatively, the insulating material layer 106 may comprise other dimensions.


An ESL and/or hard mask 108 is formed over the insulating material layer 106 in some embodiments. The ESL and/or hard mask 108 comprises similar materials and dimensions as described for ESL 104, for example. The ESL and/or hard mask 108 is not included in some embodiments. The ESL and/or hard mask 108 may alternatively or may also comprise an adhesion layer, for example.


A photosensitive material 110 is formed over the ESL and/or hard mask 108, or over the insulating material layer 106 if the ESL and/or hard mask 108 is not included. The photosensitive material 110 comprises a photoresist or other photosensitive material. The photosensitive material 110 comprises a thickness of about 10 nm to 1,000,000 nm in some embodiments. Alternatively, the photosensitive material 110 may comprise other dimensions.


The photosensitive material 110 is patterned, as shown in FIG. 2. The photosensitive material 110 may be patterned using lithography, by exposing the photosensitive material 110 to light or energy reflected from or transmitted through a lithography mask having the desired pattern formed thereon. The photosensitive material 110 is developed, and exposed or unexposed portions (depending on whether the photosensitive material 110 comprises a positive or negative photoresist, for example) are ashed or etched away, forming the patterns in the photosensitive material 110 shown in FIG. 2. Alternatively, the photosensitive material 110 may be patterned using a direct patterning method, for example. The patterns in the photosensitive material 110 comprise a desired width for vias (not shown in FIG. 2; see via 140 in FIG. 8) that will be formed in the insulating material layer 106 in some embodiments.


An etch process 112 is used to transfer the pattern of the photosensitive material 110 to the underlying insulating material layer 106 and the ESLs 104 and ESL and/or hard mask 108, if included in the structure, forming a trench 114, as shown in FIG. 3. The photosensitive material 110 is used as an etch mask during the etch process 112 for at least the insulating material layer 106, for example. A portion of the top surface of the conductive material 103 is exposed at the bottom of the trench 114. Only one trench 114 is shown in the drawings; however, a plurality of trenches 114 may be formed across the surface of the workpiece 102, depending on the design of the semiconductor device 100.


The etch process 112 comprises a dry etch process in some embodiments. Alternatively, the etch process 112 may comprise other types of etch processes. The etch process 112 forms damaged regions 116 on sidewalls of the trench 114 in some embodiments. In other embodiments, the damaged regions 116 are not formed by the etch process 112, but rather, by the removal later of the photosensitive material 110. In yet other embodiments, the damaged regions 116 are formed by both the etch process 112 of the insulating material layer 106 and also the removal of the photosensitive material 110.


Next, in FIG. 4, the photosensitive material 110 is removed, leaving behind a residue 124 that lines the sidewalls and the bottom surface of the trench 114. The residue 124 comprises a polymer and/or other debris or materials, as examples. The photosensitive material 110 may be removed using an etch process and/or an ash process, as examples. The sidewalls of the trench 114 may be damaged during the removal of the photosensitive material 110, forming the damaged regions 116. Additional damage may be caused to the damaged regions 116 during the removal of the photosensitive material 110, if the damaged regions 116 were formed when the trenches 114 were formed, as another example.


The damaged regions 116 comprise a hydrophilic material in some embodiments. The damaged regions 116 comprise a low k (LK) material such as BSG, PSG, FSG, black Diamond™, and other materials having a dielectric constant lower than a dielectric constant of SiO2, as examples, although alternatively, the damaged region 116 may comprise other materials.


Next, a post etch residue removal (PERR) process 120 and a surface modification process 122 are performed on the semiconductor device 100, as shown in FIG. 4. The surface modification process 122 comprises introducing a surface modification compound comprising a hydrocarbon in some embodiments, for example. In some embodiments, the surface modification process 122 is performed in-situ, e.g., simultaneously, with the PERR process 120. A chemical such as a hydrocarbon can be added to the PERR process 120 to achieve the surface modification process 122, for example. In other embodiments, the surface modification process 122 is performed in a separate step, after the PERR process 120. In yet other embodiments, the surface modification process 122 is performed in a separate step, before the PERR process 120. The surface modification process 122 comprises introducing a surface modification compound that is adapted to modify the hydrophilic damaged regions 116 shown in FIG. 4 to form hydrophobic damaged regions 126 shown in FIG. 5, and to subsequently form a barrier layer 130 on the sidewalls of the trench 114 after a surface treatment process 128, as shown in FIG. 6.


The PERR process 120 removes the residue 124 from over the top surface of the conductive material 103 and the damaged regions 116, as shown in FIG. 5. The surface modification process 122 converts the damaged regions 116 comprising a hydrophilic material shown in FIG. 4 to the damaged regions 126 comprising a hydrophobic material shown in FIG. 5.


A surface treatment process 128 is then performed on the semiconductor device 100, also shown in FIG. 5. The surface treatment process 128 comprises introducing a surface treatment compound comprising a hydrocarbon, a halocarbon, sulfured carbon, and/or silicon carbon, in some embodiments. In some embodiments, the surface treatment compound comprises an organic compound or an inorganic compound, as other examples. The surface treatment process comprises 128 heating, catalyzation, electrolization, photo-irradiation, a method of forming composite materials, and/or combinations thereof in other embodiments, as examples. After surface treatment process 128, the surface formed on the trench sidewalls (e.g., the barrier layer 130) comprises a surface treatment product comprising hydrocarbon, halocarbon, sulfured carbon, silicon carbon, graphite, graphene, amorphous carbon, fullerene, and/or combinations thereof in other embodiments, as examples. The surface treatment process 128 comprises a graphization process, a carbonization process, a graphenization process, and/or combinations thereof, in other embodiments. Alternatively, the surface modification compound of the surface treatment process 122 and the surface treatment product of the surface treatment process 128 comprising the barrier layer 130 may comprise other chemicals or materials.


The barrier layer 130 includes the material used in the surface treatment process 128 and the damaged region 126 material. Advantageously, the barrier layer 130 is selectively formed on the sidewalls of the insulating material layer 106. For example, the barrier layer 130 is not formed on the top surface of the conductive material 103 at the bottom of the trench 113, as shown in FIG. 6. The barrier layer 130 is advantageously formed by altering the damaged regions 116/126 on the sidewalls of the trench 114. The barrier layer 130 comprises cobalt, ruthenium, tantalum, tantalum nitride, indium oxide, tungsten nitride, titanium nitride, and/or combinations thereof, as examples, although alternatively, the barrier layer 130 may comprise other materials.


The manufacturing process for the semiconductor device 100 is then continued to form a via 140 in the trench 140, as shown in FIGS. 7 and 8. A seed layer 132 is formed over the insulating material layer 106 (e.g., over the ESL and/or hard mask 108 over the insulating material layer 106), over the barrier layer 130, and over the top surface of the conductive material 103 at the bottom of the trench 114, as shown in FIG. 7. The seed layer 132 comprises a conductive material such as Cu, a Cu alloy, or other conductive materials, as examples. A conductive material 134 is formed over the seed layer 132, filling the trench 114, as shown in FIG. 8. The conductive material 134 comprises Cu, a Cu alloy, or other conductive materials, as examples. The conductive material 134 is plated on using an electrochemical plating (ECP) process in some embodiments, as an example. The conductive material 134 may alternatively be formed using other methods. Conductive material 103 is also referred to herein as a first conductive material, and conductive material 134 is also referred to herein as a second conductive material, e.g., in some of the claims.


The seed layer 132 and conductive material 134 disposed within the trench 114 form a via 140. The via 140 comprises a conductive plug of material that is disposed between and electrically connects conductive material 103 to conductive material 134. The via 140 comprises a round, oval, square, rectangular, or other shapes in a top view of the workpiece 102, as examples.


Conductive material 134 may be patterned in some embodiments to form conductive lines or traces, resistors, capacitor plates, or inductors, as examples. The conductive material 134 may alternatively be patterned into other shapes. Conductive material 134 may later be patterned using a subtractive etch. Alternatively, conductive material 134 may be formed using a damascene process, as described for conductive material 103. Conductive material 134 may also be formed using a dual damascene process, wherein the vias 140 and conductive lines in the conductive material 134 disposed over the top surface of the insulating material layer 106 are simultaneously formed, as another example.



FIG. 9 is a diagram showing a chemical reaction (e.g., alkylation) of a damaged region 116 of a trench 114 sidewall of an insulating material layer 106 after a surface modification process 122 in accordance with an embodiment. By changing the functional group of the molecules, expected suitable materials can be synthesized in accordance with embodiments. The molecular structure of a hydrophilic damaged region 116 (see FIG. 4) comprising a LK material, such as BSG, PSG, FSG, black Diamond™, an ELK material, and other materials having a dielectric constant that is lower than a dielectric constant of SiO2, is shown at 144. The molecular structure of a hydrophobic damaged region 126 (see FIG. 5) after the surface modification process 122 is shown at 146. Water molecules absorb less strongly on the non-polar —CH groups of the hydrophobic damaged region 126 material than on the polar —OH groups of the hydrophilic damaged region 116. The impact of the surface modification process 122 on the molecular structure of the damaged regions 116 to form damaged regions 126 advantageously facilitates in the formation of the selectively formed barrier layer 130 on the sidewalls of the trench 114 during the subsequent surface treatment process 128.



FIG. 10 is a flow chart 150 illustrating a method of manufacturing a semiconductor device 100 in accordance with some embodiments. In step 152, a conductive material 103 is formed over a workpiece 102. In step 154, an insulating material layer 106 is formed over the conductive material 103. In step 156, the insulating material layer 106 is patterned to form a trench 114 and expose a portion of a top surface of the conductive material 103 in the bottom of the trench 114. In step 158, a barrier layer 130 is formed on sidewalls of the trench 114 using a surface modification process 122 and a surface treatment process 128.


Some embodiments of the present disclosure include methods of manufacturing the semiconductor devices 100 that include forming the barrier layers 130. Other embodiments include semiconductor devices 100 that include the novel barrier layers 130 described herein.


Advantages of some embodiments of the disclosure include providing manufacturing methods for semiconductor devices 100 wherein novel barrier layers 130 are formed on sidewalls of trenches 114 within insulating material layers 106. The barrier layers 130 are selectively formed on the sidewalls of the trenches 114. The barrier layers 130 are not formed on the top surface of the conductive material 103 disposed beneath the insulating material layers 106, avoiding damage to or a deleterious impact on the conductivity, resistance, and electrical properties of the conductive material 103. Furthermore, because the barrier layers 130 are not formed on the surface of the conductive material 103, there is no concern for further conductive material 103 loss during a subsequent sputtering seed layer 132 step used to remove undesired barrier layers over the conductive material 103.


The barrier layer 130 advantageously recovers the damaged insulating material layer 106, making use of the damaged regions 116 and 126 of the insulating material layer 106 rather than needing to repair the damaged regions 116 or experience poor device 100 performance. A baking step to remove moisture from the insulating material layer 106 and a purge step for a gas such as N2 to prevent moisture diffusion in the insulating material layer 106 can be eliminated in the process flow in some applications by the use of the novel barrier layers 130, as examples. The formation of a conformal barrier layer over the trench 114 comprising a material such as TaN to prevent diffusion of metal into the insulating material layer 106 can also be eliminated in some applications from the process flow by the use of the novel barrier layers 130 described herein, as another example. The barrier layer 130 also functions as an adhesion layer for the subsequently deposited seed layer 132 in some embodiments. The novel manufacturing methods, barrier layers 130 and via 140 structures and designs are easily implementable in manufacturing process flows.


The barrier layers 130 described herein include hydrocarbon materials such as graphite, which are excellent barrier materials having a lattice constant of about 2.462, as an example. As another example, graphene is very dense and impenetrable, preventing small molecules, even helium (He) from passing through. Thus, the barrier layers 130 described herein function as a strong protection layer against metal diffusion for the trench 114 sidewalls of the insulating material layer 106, advantageously.


Further advantages of the novel barrier layers 130 include a prolonged queue (Q) time, due to preventing the insulating material layer 106 from moisture absorption, resulting in a productivity improvement. After the damaged regions 116 are converted to hydrophobic damaged regions 126 using the surface modification process 122, the insulating material layer 106 can no longer absorb H2O molecules because the sidewalls of the trench 114 are covered by the hydrophobic damaged regions 126. The use of the selectively formed barrier layers 130 avoids the need to remove a conformally deposited barrier film from over the conductive material 103 top surface using chemical bombardment, which can cause conductive material 103 loss. Additionally, the novel selectively formed barrier layers 130 prevent a k value shift of the insulating material layer 106, leakage risks or problems, and RC shift.


In accordance with some embodiments of the present disclosure, a method of manufacturing a semiconductor device includes providing a workpiece including an insulating material layer disposed thereon. The insulating material layer includes a trench formed therein. The method includes forming a barrier layer on the sidewalls of the trench using a surface modification process and a surface treatment process.


In accordance with other embodiments, a method of manufacturing a semiconductor device includes forming a conductive material over a workpiece, forming an insulating material layer over the conductive material, and patterning the insulating material layer to form a trench and expose a portion of a top surface of the conductive material in the bottom of the trench. A barrier layer is formed on sidewalls of the trench using a surface modification process and a surface treatment process.


In accordance with other embodiments, a semiconductor device includes a workpiece, a conductive feature formed over the workpiece, and an insulating material layer disposed over the conductive feature. A via is coupled to the conductive feature, the via being disposed in the insulating material. A barrier layer disposed on sidewalls of the via proximate the insulating material layer. The barrier layer comprises a material selected from the group consisting essentially of hydrocarbon, halocarbon, sulfured carbon, silicon carbon, graphite, graphene, amorphous carbon, fullerene, and combinations thereof.


Although some embodiments of the present disclosure and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present disclosure. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims
  • 1. A method comprising: forming an interconnect line over a semiconductor substrate;depositing a first etch stop layer over the interconnect line;depositing an insulating layer over the first etch stop layer;depositing a second etch stop layer over the insulating layer;etching the second etch stop layer, the insulating layer, and the first etch stop layer to form an opening exposing a surface of the interconnect line;reacting the insulating layer with a surface treatment compound comprising a hydrocarbon, a halocarbon, sulfured carbon, or silicon carbon to form a barrier layer in the insulating layer adjacent the opening, the barrier layer extending from the first etch stop layer to the second etch stop layer; andforming a conductive via filling the opening.
  • 2. The method of claim 1, wherein forming the conductive via comprises depositing a seed layer comprising a Cu or a Cu alloy material in the opening and physically contacting the barrier layer and the interconnect line.
  • 3. The method of claim 2, wherein forming the conductive via further comprises depositing a conductive fill material comprising a Cu or a Cu alloy using an electrochemical plating process, the conductive fill material filling the opening.
  • 4. The method of claim 1, wherein etching the second etch stop layer, the insulating layer, and the first etch stop layer to form the opening uses a dry etching process.
  • 5. The method of claim 1, further comprising reacting the insulating layer with a surface modification compound before reacting the insulating layer with the surface treatment compound, wherein the surface modification compound comprises a hydrocarbon.
  • 6. The method of claim 5, wherein reacting the insulating layer with the surface modification compound converts a hydrophilic material of the insulating layer adjacent the opening to a hydrophobic material adjacent the opening.
  • 7. The method of claim 1, further comprising: forming a patterned photosensitive material over the second etch stop layer, wherein etching the second etch stop layer, the insulating layer, and the first etch stop layer to form the opening uses the patterned photosensitive material as a mask; andremoving the patterned photosensitive material, wherein removing the patterned photosensitive material deposits a residue in the opening lining a bottom surface and sidewalls of the opening.
  • 8. The method of claim 7, further comprising performing a post etch residue removal process to remove the residue, wherein the post etch residue removal process is performed before reacting the insulating layer with the surface treatment compound.
  • 9. A method comprising: forming a conductive material layer over a workpiece;depositing an insulating material layer over the conductive material layer;etching the insulating material layer to form a trench exposing a portion of a top surface of the conductive material layer;exposing the trench to a post etch residue removal process;performing a surface modification process on sidewalls of the insulating material layer adjacent the trench; andperforming a surface treatment process on the sidewalls of the insulating material layer adjacent the trench, wherein the surface treatment process forms a barrier layer in the insulating material layer adjacent the trench.
  • 10. The method of claim 9, wherein the post etch residue removal process is performed before the surface modification process.
  • 11. The method of claim 9, wherein the post etch residue removal process is performed simultaneously with the surface modification process.
  • 12. The method of claim 9, wherein the post etch residue removal process is performed after the surface modification process.
  • 13. The method of claim 9, wherein etching the insulating material layer and exposing the trench to the post etch residue removal process form a hydrophilic damaged layer in the insulating material layer adjacent the trench.
  • 14. The method of claim 13, wherein performing the surface modification process forms a hydrophobic damaged layer in the insulating material layer adjacent the trench from the hydrophilic damaged layer.
  • 15. The method of claim 14, wherein performing the surface treatment process forms the barrier layer from the hydrophilic damaged layer.
  • 16. A method comprising: forming a conductive structure over a workpiece;depositing a dielectric layer over the conductive structure;forming a trench in the dielectric layer extending to a top surface of the conductive structure;performing a surface modification process on sidewalls of the dielectric layer adjoining the trench, wherein the surface modification process selectively modifies the sidewalls of the dielectric layer without modifying the top surface of the conductive structure; andperforming a surface treatment process on the sidewalls of the dielectric layer, wherein the surface treatment process selectively treats the sidewalls of the dielectric layer without treating the top surface of the conductive structure.
  • 17. The method of claim 16, wherein the surface modification process introduces a surface modification compound into the sidewalls of the dielectric layer, the surface modification compound comprising a hydrocarbon.
  • 18. The method of claim 17, wherein the surface treatment process introduces a surface treatment compound into the sidewalls of the dielectric layer, the surface treatment compound comprising a hydrocarbon, a halocarbon, sulfured carbon, or silicon carbon.
  • 19. The method of claim 16, further comprising depositing a first etch stop layer over the conductive structure, wherein the dielectric layer is deposited over the first etch stop layer; and depositing a second etch stop layer over the dielectric layer, wherein the trench extends through the first etch stop layer and the second etch stop layer.
  • 20. The method of claim 19, wherein the surface modification process modifies the sidewalls of the dielectric layer without modifying the first etch stop layer or the second etch stop layer and the surface treatment process treats the sidewalls of the dielectric layer without treating the first etch stop layer or the second etch stop layer.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 14/490,216, filed on Sep. 18, 2014, entitled “Semiconductor Devices Employing a Barrier Layer,” which is a divisional of U.S. patent application Ser. No. 13/734,892, filed on Jan. 4, 2013, (now U.S. Pat. No. 8,871,639, issued Oct. 28, 2014), entitled “Semiconductor Devices and Methods of Manufacture Thereof,” which applications are hereby incorporated herein by reference.

US Referenced Citations (135)
Number Name Date Kind
5723909 Yano Mar 1998 A
6028015 Wang et al. Feb 2000 A
6040243 Li et al. Mar 2000 A
6054398 Pramanick Apr 2000 A
6090699 Aoyama et al. Jul 2000 A
6255732 Yokoyama Jul 2001 B1
6265779 Grill et al. Jul 2001 B1
6284644 Aug et al. Sep 2001 B1
6284657 Chooi et al. Sep 2001 B1
6329290 Zhao Dec 2001 B1
6417112 Peyne et al. Jul 2002 B1
6429122 Chooi et al. Aug 2002 B2
6429129 Han et al. Aug 2002 B1
6429519 Uzoh Aug 2002 B1
6448655 Babich et al. Sep 2002 B1
6479408 Shioya et al. Nov 2002 B2
6518166 Chen et al. Feb 2003 B1
6528409 Lopatin Mar 2003 B1
6528432 Ngo Mar 2003 B1
6537896 Catabay Mar 2003 B1
6566283 Pangrle et al. May 2003 B1
6586334 Jiang Jul 2003 B2
6605549 Leu Aug 2003 B2
6632746 Kanegae et al. Oct 2003 B2
6642145 Avanzino et al. Nov 2003 B1
6677251 Lu et al. Jan 2004 B1
6713382 Pangrie Mar 2004 B1
6723634 Ngo et al. Apr 2004 B1
6723635 Ngo et al. Apr 2004 B1
6723653 Kim Apr 2004 B1
6746957 Ohtsuka et al. Jun 2004 B2
6787453 Abell Sep 2004 B2
6815329 Babich et al. Nov 2004 B2
6836017 Ngo et al. Dec 2004 B2
6838300 Jin Jan 2005 B2
6903027 Matsuura Jun 2005 B2
6911405 Shioya et al. Jun 2005 B2
6919636 Ryan Jul 2005 B1
6972253 Liu et al. Dec 2005 B2
6995073 Liou Feb 2006 B2
7005390 RamachandraRao et al. Feb 2006 B2
7015150 Cooney, III et al. Mar 2006 B2
7091618 Yoshizawa Aug 2006 B2
7132363 Yang et al. Nov 2006 B2
7157373 Knorr et al. Jan 2007 B2
7179751 Smith et al. Feb 2007 B2
7220671 Simka et al. May 2007 B2
7229915 Soda Jun 2007 B2
7259091 Schuehrer et al. Aug 2007 B2
7268434 Nakashima Sep 2007 B2
7271089 Sandu Sep 2007 B2
7276796 Yang et al. Oct 2007 B1
7309658 Lazovsky et al. Dec 2007 B2
7329956 Yu et al. Feb 2008 B1
7368393 America May 2008 B2
7396759 Van Schravendijk et al. Jul 2008 B1
7498242 Kumar Mar 2009 B2
7528066 Yang et al. May 2009 B2
7538028 Sandu May 2009 B2
7538038 Matsushita et al. May 2009 B2
7553769 Toma Jun 2009 B2
7563705 Tonegawa et al. Jul 2009 B2
7611751 Elers Nov 2009 B2
7682517 Nishimura et al. Mar 2010 B2
7687910 Tsmura Mar 2010 B2
7701060 Tada et al. Apr 2010 B2
7707060 Chainer et al. Apr 2010 B2
7723237 Hyland et al. May 2010 B2
7741224 Jiang et al. Jun 2010 B2
7745327 Preusse et al. Jun 2010 B2
7795148 Brown Sep 2010 B2
7838428 Chen et al. Nov 2010 B2
7842518 Miyajima Nov 2010 B2
7851360 Dominguez et al. Dec 2010 B2
7867895 Yang et al. Jan 2011 B2
7892968 Chen et al. Feb 2011 B2
7928004 Seidel et al. Apr 2011 B2
7968451 Ko Jun 2011 B2
7973409 Yang et al. Jul 2011 B2
8039964 Farooq et al. Oct 2011 B2
8058153 Asako Nov 2011 B2
8105943 Streck et al. Jan 2012 B2
8158521 Chang et al. Apr 2012 B2
8193086 Letz et al. Jun 2012 B2
8232196 Yang et al. Jul 2012 B2
8268721 Asako Sep 2012 B2
8278763 Tada et al. Oct 2012 B2
8357610 Feustel et al. Jan 2013 B2
8420544 Huang et al. Apr 2013 B2
8445382 Besling May 2013 B2
8492266 Ueki et al. Jul 2013 B2
8574445 Cho et al. Nov 2013 B2
8592283 Ito Nov 2013 B2
8592327 Ranjan et al. Nov 2013 B2
8617985 Collins et al. Dec 2013 B2
8647535 Malone Feb 2014 B2
8759212 Kume et al. Jun 2014 B2
8765597 Farooq et al. Jul 2014 B2
8859430 Chiba Oct 2014 B2
9029171 Huang May 2015 B2
9384980 Yoshimizu et al. Jul 2016 B2
20010005635 Kitagawa Jun 2001 A1
20010051420 Besser et al. Dec 2001 A1
20030054656 Soda Mar 2003 A1
20040029386 Lee Feb 2004 A1
20040150075 Kaji Aug 2004 A1
20050106762 Chakrapani May 2005 A1
20050127515 Knorr Jun 2005 A1
20050151266 Yoshizawa Jul 2005 A1
20050170269 Nakagawa et al. Aug 2005 A1
20060003577 Sone Jan 2006 A1
20060019485 Komai et al. Jan 2006 A1
20060099802 Lin May 2006 A1
20060151887 Oh et al. Jul 2006 A1
20060240187 Weidman Oct 2006 A1
20070037374 Hayashi Feb 2007 A1
20070082488 Katou Apr 2007 A1
20080054467 Ohba et al. Mar 2008 A1
20080179747 Sakai et al. Jul 2008 A1
20080311728 Asako et al. Dec 2008 A1
20090017563 Jiang Jan 2009 A1
20090085173 Boemmels Apr 2009 A1
20090104774 Furukawa Apr 2009 A1
20090134521 Liu et al. May 2009 A1
20090283910 Hinomura Nov 2009 A1
20090286394 Ko et al. Nov 2009 A1
20100304566 Fischer Dec 2010 A1
20110049718 Matsumoto et al. Mar 2011 A1
20110163062 Gordon Jul 2011 A1
20110256715 Pan et al. Oct 2011 A1
20120178241 Malone et al. Jul 2012 A1
20130001710 Daneman et al. Jan 2013 A1
20130277853 Yang et al. Oct 2013 A1
20140145332 Ryan et al. May 2014 A1
20150001723 Chient et al. Jan 2015 A1
Non-Patent Literature Citations (1)
Entry
Lu, X. et al., “Low-temperature rapid synthesis of high-quality pristine or boron-doped graphene via Wurtz-type reductive coupling reaction,” Journal of Materials Chemistry, 2011, DOI: 10.1039/c1jm11184a; www.rsc.org/materials, 5 pages.
Related Publications (1)
Number Date Country
20200066633 A1 Feb 2020 US
Divisions (1)
Number Date Country
Parent 13734892 Jan 2013 US
Child 14490216 US
Continuations (1)
Number Date Country
Parent 14490216 Sep 2014 US
Child 16672180 US