Semiconductor devices employing a barrier layer

Abstract
A semiconductor device includes providing a workpiece including an insulating material layer disposed thereon. The insulating material layer includes a trench formed therein. A barrier layer on the sidewalls of the trench is formed using a surface modification process and a surface treatment process.
Description
BACKGROUND

Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment, as examples. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. Dozens or hundreds of integrated circuits are typically manufactured on a single semiconductor wafer. The individual dies are singulated by sawing the integrated circuits along a scribe line.


The semiconductor industry continues to improve the integration density of various electronic components, e.g., transistors, diodes, resistors, capacitors, conductive lines, vias, etc. of integrated circuits by continual reductions in minimum feature size, which allow more components to be integrated into a given area. Some recent reduced feature size conductive line and via designs utilize low dielectric constant (k) insulating materials having a dielectric constant less than a dielectric constant of silicon dioxide for insulating materials, and copper or copper alloys for conductive material. These material systems can present manufacturing challenges in some applications. As an example, copper tends to diffuse into some low k insulating materials, so that the use of barrier layers in the conductive line and via structures is required.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present disclosure, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:



FIGS. 1 through 8 are cross-sectional views of a semiconductor device at various stages of manufacturing in accordance with some embodiments of the present disclosure;



FIG. 9 is a diagram showing a chemical change of a damaged region of sidewalls of a trench in an insulating material layer after a surface modification process in accordance with an embodiment; and



FIG. 10 is a flow chart illustrating a method of manufacturing a semiconductor device in accordance with some embodiments.





Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the embodiments and are not necessarily drawn to scale.


DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

The making and using of some of the embodiments of the present disclosure are discussed in detail below. It should be appreciated, however, that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the disclosure, and do not limit the scope of the disclosure.



FIGS. 1 through 8 are cross-sectional views illustrating a semiconductor device at various stages of manufacturing in accordance with some embodiments of the present disclosure. Referring first to FIG. 1, there is shown a semiconductor device 100 that includes a workpiece 102. The workpiece 102 may include a semiconductor substrate comprising silicon or other semiconductor materials and may be covered by an insulating layer, for example. The workpiece 102 may also include other active components or circuits, not shown. The workpiece 102 may comprise silicon oxide over single-crystal silicon, for example. The workpiece 102 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon. The workpiece 102 may comprise a silicon-on-insulator (SOI) or a germanium-on-insulator (GOI) substrate, as examples.


A conductive material 103 is formed over the workpiece 102. The conductive material 103 comprises Cu, a Cu alloy, other metals, or combinations thereof. Alternatively, the conductive material 103 may comprise other materials. The conductive material 103 is patterned into desired conductive features (not shown), such as conductive lines or traces, resistors, capacitor plates, or inductors, as examples. Alternatively, the conductive material 103 may be patterned with other features. The conductive material 103 may be formed using subtractive techniques, by depositing the conductive material 103 over the workpiece 102 and patterning the conductive material 103 using lithography. An insulating material (not shown) is then formed between the conductive features of the conductive material 103. Alternatively, the conductive material 103 may be formed using a damascene technique, by forming an insulating material over the workpiece 102, patterning the insulating material, and filling the patterned insulating material with the conductive material 103. Alternatively, the conductive material 103 may be formed using other methods. The conductive material 103 comprises a thickness of about 10 to 10,000 nm in some embodiments. Alternatively, the conductive material 103 may comprise other dimensions.


An etch stop layer (ESL) 104 is formed over the conductive material 103 in some embodiments. The ESL 104 comprises SiN, SiC, tetraethyl orthosilicate (TEOS), other insulating materials, or combinations thereof. Alternatively, the ESL 104 may comprise other materials. The ESL 104 comprises a thickness of about 1 nm to 1,000 nm in some embodiments. Alternatively, the ESL 104 may comprise other dimensions. The ESL 104 is not included in some embodiments. The ESL 104 may alternatively or may also comprise an adhesion layer, for example.


An insulating material layer 106 is formed over the ESL 104, or over the conductive material 103 if the ESL 104 is not included. The insulating material layer 106 comprises a low dielectric constant (k) insulating material in some embodiments having a dielectric constant or k value lower than the k value of silicon dioxide, which is about 3.9. In some embodiments, the insulating material layer 106 comprises an extra-low dielectric constant (ELK) material having a dielectric constant of less than about 2.5, as another example. The insulating material layer 106 comprises a material such as boron-doped silicon glass (BSG), phosphosilicate glass (PSG), fluorinated silicate glass (FSG), black diamond™ available from Applied Materials, or other materials having a dielectric constant that is lower than a dielectric constant of SiO2, as examples, although alternatively, the insulating material layer 106 may comprise other materials. The insulating material layer 106 comprises a thickness of about 10 nm to 1,000,000 nm in some embodiments. Alternatively, the insulating material layer 106 may comprise other dimensions.


An ESL and/or hard mask 108 is formed over the insulating material layer 106 in some embodiments. The ESL and/or hard mask 108 comprises similar materials and dimensions as described for ESL 104, for example. The ESL and/or hard mask 108 is not included in some embodiments. The ESL and/or hard mask 108 may alternatively or may also comprise an adhesion layer, for example.


A photosensitive material 110 is formed over the ESL and/or hard mask 108, or over the insulating material layer 106 if the ESL and/or hard mask 108 is not included. The photosensitive material 110 comprises a photoresist or other photosensitive material. The photosensitive material 110 comprises a thickness of about 10 nm to 1,000,000 nm in some embodiments. Alternatively, the photosensitive material 110 may comprise other dimensions.


The photosensitive material 110 is patterned, as shown in FIG. 2. The photosensitive material 110 may be patterned using lithography, by exposing the photosensitive material 110 to light or energy reflected from or transmitted through a lithography mask having the desired pattern formed thereon. The photosensitive material 110 is developed, and exposed or unexposed portions (depending on whether the photosensitive material 110 comprises a positive or negative photoresist, for example) are ashed or etched away, forming the patterns in the photosensitive material 110 shown in FIG. 2. Alternatively, the photosensitive material 110 may be patterned using a direct patterning method, for example. The patterns in the photosensitive material 110 comprise a desired width for vias (not shown in FIG. 2; see via 140 in FIG. 8) that will be formed in the insulating material layer 106 in some embodiments.


An etch process 112 is used to transfer the pattern of the photosensitive material 110 to the underlying insulating material layer 106 and the ESLs 104 and ESL and/or hard mask 108, if included in the structure, forming a trench 114, as shown in FIG. 3. The photosensitive material 110 is used as an etch mask during the etch process 112 for at least the insulating material layer 106, for example. A portion of the top surface of the conductive material 103 is exposed at the bottom of the trench 114. Only one trench 114 is shown in the drawings; however, a plurality of trenches 114 may be formed across the surface of the workpiece 102, depending on the design of the semiconductor device 100.


The etch process 112 comprises a dry etch process in some embodiments. Alternatively, the etch process 112 may comprise other types of etch processes. The etch process 112 forms damaged regions 116 on sidewalls of the trench 114 in some embodiments. In other embodiments, the damaged regions 116 are not formed by the etch process 112, but rather, by the removal later of the photosensitive material 110. In yet other embodiments, the damaged regions 116 are formed by both the etch process 112 of the insulating material layer 106 and also the removal of the photosensitive material 110.


Next, in FIG. 4, the photosensitive material 110 is removed, leaving behind a residue 124 that lines the sidewalls and the bottom surface of the trench 114. The residue 124 comprises a polymer and/or other debris or materials, as examples. The photosensitive material 110 may be removed using an etch process and/or an ash process, as examples. The sidewalls of the trench 114 may be damaged during the removal of the photosensitive material 110, forming the damaged regions 116. Additional damage may be caused to the damaged regions 116 during the removal of the photosensitive material 110, if the damaged regions 116 were formed when the trenches 114 were formed, as another example.


The damaged regions 116 comprise a hydrophilic material in some embodiments. The damaged regions 116 comprise a low k (LK) material such as BSG, PSG, FSG, black diamond™, and other materials having a dielectric constant lower than a dielectric constant of SiO2, as examples, although alternatively, the damaged region 116 may comprise other materials.


Next, a post etch residue removal (PERR) process 120 and a surface modification process 122 are performed on the semiconductor device 100, as shown in FIG. 4. The surface modification process 122 comprises introducing a surface modification compound comprising a hydrocarbon in some embodiments, for example. In some embodiments, the surface modification process 122 is performed in-situ, e.g., simultaneously, with the PERR process 120. A chemical such as a hydrocarbon can be added to the PERR process 120 to achieve the surface modification process 122, for example. In other embodiments, the surface modification process 122 is performed in a separate step, after the PERR process 120. In yet other embodiments, the surface modification process 122 is performed in a separate step, before the PERR process 120. The surface modification process 122 comprises introducing a surface modification compound that is adapted to modify the hydrophilic damaged regions 116 shown in FIG. 4 to form hydrophobic damaged regions 126 shown in FIG. 5, and to subsequently form a barrier layer 130 on the sidewalls of the trench 114 after a surface treatment process 128, as shown in FIG. 6.


The PERR process 120 removes the residue 124 from over the top surface of the conductive material 103 and the damaged regions 116, as shown in FIG. 5. The surface modification process 122 converts the damaged regions 116 comprising a hydrophilic material shown in FIG. 4 to the damaged regions 126 comprising a hydrophobic material shown in FIG. 5.


A surface treatment process 128 is then performed on the semiconductor device 100, also shown in FIG. 5. The surface treatment process 128 comprises introducing a surface treatment compound comprising a hydrocarbon, a halocarbon, sulfured carbon, and/or silicon carbon, in some embodiments. In some embodiments, the surface treatment compound comprises an organic compound or an inorganic compound, as other examples. The surface treatment process comprises 128 heating, catalyzation, electrolization, photo-irradiation, a method of forming composite materials, and/or combinations thereof in other embodiments, as examples. After surface treatment process 128, the surface formed on the trench sidewalls (e.g., the barrier layer 130) comprises a surface treatment product comprising hydrocarbon, halocarbon, sulfured carbon, silicon carbon, graphite, graphene, amorphous carbon, fullerene, and/or combinations thereof in other embodiments, as examples. The surface treatment process 128 comprises a graphization process, a carbonization process, a graphenization process, and/or combinations thereof, in other embodiments. Alternatively, the surface modification compound of the surface treatment process 122 and the surface treatment product of the surface treatment process 128 comprising the barrier layer 130 may comprise other chemicals or materials.


The barrier layer 130 includes the material used in the surface treatment process 128 and the damaged region 126 material. Advantageously, the barrier layer 130 is selectively formed on the sidewalls of the insulating material layer 106. For example, the barrier layer 130 is not formed on the top surface of the conductive material 103 at the bottom of the trench 113, as shown in FIG. 6. The barrier layer 130 is advantageously formed by altering the damaged regions 116/126 on the sidewalls of the trench 114. The barrier layer 130 comprises cobalt, ruthenium, tantalum, tantalum nitride, indium oxide, tungsten nitride, titanium nitride, and/or combinations thereof, as examples, although alternatively, the barrier layer 130 may comprise other materials.


The manufacturing process for the semiconductor device 100 is then continued to form a via 140 in the trench 140, as shown in FIGS. 7 and 8. A seed layer 132 is formed over the insulating material layer 106 (e.g., over the ESL and/or hard mask 108 over the insulating material layer 106), over the barrier layer 130, and over the top surface of the conductive material 103 at the bottom of the trench 114, as shown in FIG. 7. The seed layer 132 comprises a conductive material such as Cu, a Cu alloy, or other conductive materials, as examples. A conductive material 134 is formed over the seed layer 132, filling the trench 114, as shown in FIG. 8. The conductive material 134 comprises Cu, a Cu alloy, or other conductive materials, as examples. The conductive material 134 is plated on using an electrochemical plating (ECP) process in some embodiments, as an example. The conductive material 134 may alternatively be formed using other methods. Conductive material 103 is also referred to herein as a first conductive material, and conductive material 134 is also referred to herein as a second conductive material, e.g., in some of the claims.


The seed layer 132 and conductive material 134 disposed within the trench 114 form a via 140. The via 140 comprises a conductive plug of material that is disposed between and electrically connects conductive material 103 to conductive material 134. The via 140 comprises a round, oval, square, rectangular, or other shapes in a top view of the workpiece 102, as examples.


Conductive material 134 may be patterned in some embodiments to form conductive lines or traces, resistors, capacitor plates, or inductors, as examples. The conductive material 134 may alternatively be patterned into other shapes. Conductive material 134 may later be patterned using a subtractive etch. Alternatively, conductive material 134 may be formed using a damascene process, as described for conductive material 103. Conductive material 134 may also be formed using a dual damascene process, wherein the vias 140 and conductive lines in the conductive material 134 disposed over the top surface of the insulating material layer 106 are simultaneously formed, as another example.



FIG. 9 is a diagram showing a chemical reaction (e.g., alkylation) of a damaged region 116 of a trench 114 sidewall of an insulating material layer 106 after a surface modification process 122 in accordance with an embodiment. By changing the functional group of the molecules, expected suitable materials can be synthesized in accordance with embodiments. The molecular structure of a hydrophilic damaged region 116 (see FIG. 4) comprising a LK material, such as BSG, PSG, FSG, black diamond™, an ELK material, and other materials having a dielectric constant that is lower than a dielectric constant of SiO2, is shown at 144. The molecular structure of a hydrophobic damaged region 126 (see FIG. 5) after the surface modification process 122 is shown at 146. Water molecules absorb less strongly on the non-polar —CH groups of the hydrophobic damaged region 126 material than on the polar —OH groups of the hydrophilic damaged region 116. The impact of the surface modification process 122 on the molecular structure of the damaged regions 116 to form damaged regions 126 advantageously facilitates in the formation of the selectively formed barrier layer 130 on the sidewalls of the trench 114 during the subsequent surface treatment process 128.



FIG. 10 is a flow chart 150 illustrating a method of manufacturing a semiconductor device 100 in accordance with some embodiments. In step 152, a conductive material 103 is formed over a workpiece 102. In step 154, an insulating material layer 106 is formed over the conductive material 103. In step 156, the insulating material layer 106 is patterned to form a trench 114 and expose a portion of a top surface of the conductive material 103 in the bottom of the trench 114. In step 158, a barrier layer 130 is formed on sidewalls of the trench 114 using a surface modification process 122 and a surface treatment process 128.


Some embodiments of the present disclosure include methods of manufacturing the semiconductor devices 100 that include forming the barrier layers 130. Other embodiments include semiconductor devices 100 that include the novel barrier layers 130 described herein.


Advantages of some embodiments of the disclosure include providing manufacturing methods for semiconductor devices 100 wherein novel barrier layers 130 are formed on sidewalls of trenches 114 within insulating material layers 106. The barrier layers 130 are selectively formed on the sidewalls of the trenches 114. The barrier layers 130 are not formed on the top surface of the conductive material 103 disposed beneath the insulating material layers 106, avoiding damage to or a deleterious impact on the conductivity, resistance, and electrical properties of the conductive material 103. Furthermore, because the barrier layers 130 are not formed on the surface of the conductive material 103, there is no concern for further conductive material 103 loss during a subsequent sputtering seed layer 132 step used to remove undesired barrier layers over the conductive material 103.


The barrier layer 130 advantageously recovers the damaged insulating material layer 106, making use of the damaged regions 116 and 126 of the insulating material layer 106 rather than needing to repair the damaged regions 116 or experience poor device 100 performance. A baking step to remove moisture from the insulating material layer 106 and a purge step for a gas such as N2 to prevent moisture diffusion in the insulating material layer 106 can be eliminated in the process flow in some applications by the use of the novel barrier layers 130, as examples. The formation of a conformal barrier layer over the trench 114 comprising a material such as TaN to prevent diffusion of metal into the insulating material layer 106 can also be eliminated in some applications from the process flow by the use of the novel barrier layers 130 described herein, as another example. The barrier layer 130 also functions as an adhesion layer for the subsequently deposited seed layer 132 in some embodiments. The novel manufacturing methods, barrier layers 130 and via 140 structures and designs are easily implementable in manufacturing process flows.


The barrier layers 130 described herein include hydrocarbon materials such as graphite, which are excellent barrier materials having a lattice constant of about 2.462, as an example. As another example, graphene is very dense and impenetrable, preventing small molecules, even helium (He) from passing through. Thus, the barrier layers 130 described herein function as a strong protection layer against metal diffusion for the trench 114 sidewalls of the insulating material layer 106, advantageously.


Further advantages of the novel barrier layers 130 include a prolonged queue (Q) time, due to preventing the insulating material layer 106 from moisture absorption, resulting in a productivity improvement. After the damaged regions 116 are converted to hydrophobic damaged regions 126 using the surface modification process 122, the insulating material layer 106 can no longer absorb H2O molecules because the sidewalls of the trench 114 are covered by the hydrophobic damaged regions 126. The use of the selectively formed barrier layers 130 avoids the need to remove a conformally deposited barrier film from over the conductive material 103 top surface using chemical bombardment, which can cause conductive material 103 loss. Additionally, the novel selectively formed barrier layers 130 prevent a k value shift of the insulating material layer 106, leakage risks or problems, and RC shift.


In accordance with some embodiments of the present disclosure, a method of manufacturing a semiconductor device includes providing a workpiece including an insulating material layer disposed thereon. The insulating material layer includes a trench formed therein. The method includes forming a barrier layer on the sidewalls of the trench using a surface modification process and a surface treatment process.


In accordance with other embodiments, a method of manufacturing a semiconductor device includes forming a conductive material over a workpiece, forming an insulating material layer over the conductive material, and patterning the insulating material layer to form a trench and expose a portion of a top surface of the conductive material in the bottom of the trench. A barrier layer is formed on sidewalls of the trench using a surface modification process and a surface treatment process.


In accordance with other embodiments, a semiconductor device includes a workpiece, a conductive feature formed over the workpiece, and an insulating material layer disposed over the conductive feature. A via is coupled to the conductive feature, the via being disposed in the insulating material. A barrier layer disposed on sidewalls of the via proximate the insulating material layer. The barrier layer comprises a material selected from the group consisting essentially of hydrocarbon, halocarbon, sulfured carbon, silicon carbon, graphite, graphene, amorphous carbon, fullerene, and combinations thereof.


Although some embodiments of the present disclosure and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present disclosure. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims
  • 1. A semiconductor device, comprising: a conductive feature formed over a workpiece, the workpiece comprising a semiconductor substrate;a first etch stop layer formed over the conductive feature;an insulating material layer disposed over the first etch stop layer;a second etch stop layer formed over the insulating material layer;a via comprising a metal coupled to the conductive feature, the via extending through the first etch stop layer, the insulating material layer, and the second etch stop layer; anda barrier layer disposed on sidewalls of the via only between the first etch stop layer and the second etch stop layer, wherein sidewalls of the first etch stop layer are co-planar with sidewalls of the second etch stop layer, wherein the barrier layer directly underlies the second etch stop layer, wherein the barrier layer directly contacts a Cu or a Cu alloy material, wherein the barrier layer is directly interposed between the insulating material layer and the via, and wherein the barrier layer prevents diffusion of the metal into the insulating material layer.
  • 2. The semiconductor device according to claim 1, wherein the insulating material layer comprises boron-doped silicon glass (BSG), phosphosilicate glass (PSG), or fluorinated silicate glass (FSG).
  • 3. The semiconductor device according to claim 1, wherein the conductive feature comprises Cu or a Cu alloy.
  • 4. The semiconductor device according to claim 1, wherein the Cu or the Cu alloy material is a seed layer of the via formed on the barrier layer, and wherein the via further comprises a conductive material over the seed layer.
  • 5. The semiconductor device according to claim 1, wherein the barrier layer comprises a portion of the insulating material layer that has reacted to a material selected from the group consisting of hydrocarbon, halocarbon, sulfured carbon, silicon carbon and amorphous carbon.
  • 6. The semiconductor device according to claim 1, wherein the conductive feature is free of the barrier layer.
  • 7. The semiconductor device of claim 1, wherein each sidewall of the barrier layer is aligned with a sidewall of the first etch stop layer and a sidewall of the second etch stop layer.
  • 8. The semiconductor device according to claim 1, further comprising a surface treatment product bonded to the barrier layer.
  • 9. The semiconductor device according to claim 8, wherein the surface treatment product comprises cobalt, ruthenium, tantalum, tantalum nitride, indium oxide, tungsten nitride, titanium nitride, or a combination thereof.
  • 10. A semiconductor device comprising: an interconnect line formed over a semiconductor substrate;a first etch stop layer formed over at least part of the interconnect line;a material formed over the first etch stop layer and having a trench therein, the trench including sidewalls, the material including an insulating bulk region and a converted region, the converted region lining the sidewalls of the trench and forming a barrier layer, wherein the barrier layer comprises a material composition equal to a material composition of the insulating bulk region reacted with a material selected from the group consisting of hydrocarbon, halocarbon, sulfured carbon, silicon carbon, and amorphous carbon;a conductive via comprising a metal formed within the trench and in electrical contact with the interconnect line, wherein a Cu or a Cu alloy material disposed within the trench physically contacts the interconnect line; anda second etch stop layer over and directly contacting the converted region, wherein a side surface of the second etch stop layer facing the trench is coplanar with a side surface of the first etch stop layer facing the trench.
  • 11. The semiconductor device according to claim 10, wherein the material has a thickness from 10 nm to 1,000,000 nm.
  • 12. The semiconductor device according to claim 10, wherein the material comprises boron-doped silicon glass (BSG), phosphosilicate glass (PSG), fluorinated silicate glass (FSG), SiCOH, and a combination thereof.
  • 13. The semiconductor device according to claim 10, wherein the converted region is between the first etch stop layer and the second etch stop layer.
  • 14. The semiconductor device according to claim 10, wherein the Cu or the Cu alloy material disposed within the trench and physically contacting the interconnect line is a seed layer of the conductive via, and wherein the seed layer is formed on at least the converted region.
  • 15. The semiconductor device of claim 10, wherein each sidewall of the conductive via is straight from a top of the trench to a bottom of the trench.
  • 16. A semiconductor device comprising: an interconnect line formed over a semiconductor substrate;a first etch stop layer over the interconnect line, the first etch stop layer having a top surface, the first etch stop layer having a side surface adjacent the top surface;a second etch stop layer over the first etch stop layer, the second etch stop layer having a bottom surface, the second etch stop layer having a side surface adjacent the bottom surface, wherein the side surface of the second etch stop layer is coplanar with the side surface of the first etch stop layer;a material layer between the top surface of the first etch stop layer and the bottom surface of the second etch stop layer, the material layer including a bulk region and a converted region, the converted region being in direct physical contact with the second etch stop layer;a trench defined in the material layer and the second etch stop layer, wherein the converted region of the material layer lines sidewalls of the trench, wherein a material composition of the converted region is different than a material composition of the bulk region; anda conductive via comprising a metal formed within the trench and in electrical contact with the interconnect line, wherein the converted region is in direct physical contact with a Cu or a Cu alloy material of the conductive via, wherein the Cu or the Cu alloy material of the conductive via is further in direct physical contact with the interconnect line;wherein the second etch stop layer is in direct contact with the material layer.
  • 17. The semiconductor device according to claim 16, wherein the material layer has a thickness from 10 nm to 1,000,000 nm.
  • 18. The semiconductor device according to claim 16, wherein the converted region is a diffusion barrier layer.
  • 19. The semiconductor device according to claim 18, wherein the interconnect line is free of the diffusion barrier layer.
  • 20. The semiconductor device according to claim 16, wherein the material layer comprises boron-doped silicon glass (BSG), phosphosilicate glass (PSG), fluorinated silicate glass (FSG), SiCOH, and a combination thereof.
PRIORITY

This application is a divisional of U.S. patent application Ser. No. 13/734,892, filed on Jan. 4, 2013, and entitled, “Semiconductor Devices and Methods of Manufacture Thereof,” which application is incorporated herein by reference.

US Referenced Citations (128)
Number Name Date Kind
5723909 Yano Mar 1998 A
6028015 Wang Feb 2000 A
6040243 Li Mar 2000 A
6054398 Pramanick Apr 2000 A
6090699 Aoyama Jul 2000 A
6255732 Yokoyama Jul 2001 B1
6265779 Grill Jul 2001 B1
6284644 Aug Sep 2001 B1
6284657 Chooi Sep 2001 B1
6329290 Zhao Dec 2001 B1
6417112 Peyne Jul 2002 B1
6429122 Chooi Aug 2002 B2
6429129 Han Aug 2002 B1
6429519 Uzoh Aug 2002 B1
6448655 Babich Sep 2002 B1
6479408 Shioya Nov 2002 B2
6518166 Chen Feb 2003 B1
6528409 Lopatin Mar 2003 B1
6528432 Ngo Mar 2003 B1
6537896 Catabay Mar 2003 B1
6566283 Pangrle May 2003 B1
6586334 Jiang Jul 2003 B2
6605549 Leu Aug 2003 B2
6632746 Kanegae Oct 2003 B2
6642145 Avanzino Nov 2003 B1
6677251 Lu Jan 2004 B1
6713382 Pangrle Mar 2004 B1
6723634 Ngo Apr 2004 B1
6723635 Ngo Apr 2004 B1
6723653 Kim Apr 2004 B1
6746957 Ohtsuka Jun 2004 B2
6787453 Abell Sep 2004 B2
6815329 Babich Nov 2004 B2
6836017 Ngo Dec 2004 B2
6838300 Jin Jan 2005 B2
6903027 Matsuura Jun 2005 B2
6911405 Shioya Jun 2005 B2
6919636 Ryan Jul 2005 B1
6972253 Liu Dec 2005 B2
6995073 Liou Feb 2006 B2
7005390 RamachandraRao Feb 2006 B2
7015150 Cooney, III Mar 2006 B2
7091618 Yoshizawa Aug 2006 B2
7132363 Yang Nov 2006 B2
7157373 Knorr Jan 2007 B2
7179751 Smith Feb 2007 B2
7220671 Simka May 2007 B2
7229915 Soda Jun 2007 B2
7259091 Schuehrer et al. Aug 2007 B2
7268434 Nakashima Sep 2007 B2
7271089 Sandu Sep 2007 B2
7276796 Yang Oct 2007 B1
7309658 Lazovsky Dec 2007 B2
7329956 Yu Feb 2008 B1
7368393 America May 2008 B2
7396759 van Schravendijk et al. Jul 2008 B1
7498242 Kumar Mar 2009 B2
7528066 Yang May 2009 B2
7538028 Sandu May 2009 B2
7538038 Matsushita May 2009 B2
7553769 Toma Jun 2009 B2
7563705 Tonegawa Jul 2009 B2
7611751 Elers Nov 2009 B2
7682517 Nishimura Mar 2010 B2
7687910 Tsumura Mar 2010 B2
7701060 Tada Apr 2010 B2
7723237 Hyland May 2010 B2
7741224 Jiang Jun 2010 B2
7745327 Preusse Jun 2010 B2
7795148 Brown Sep 2010 B2
7838428 Chen Nov 2010 B2
7842518 Miyajima Nov 2010 B2
7851360 Dominguez Dec 2010 B2
7867895 Yang Jan 2011 B2
7892968 Chen Feb 2011 B2
7928004 Seidel et al. Apr 2011 B2
7968451 Ko Jun 2011 B2
7973409 Yang Jul 2011 B2
8039964 Farooq Oct 2011 B2
8058153 Asako Nov 2011 B2
8105943 Streck Jan 2012 B2
8158521 Chang Apr 2012 B2
8232196 Yang Jul 2012 B2
8268721 Asako Sep 2012 B2
8278763 Tada Oct 2012 B2
8357610 Feustel Jan 2013 B2
8420544 Huang Apr 2013 B2
8445382 Besling May 2013 B2
8492266 Ueki Jul 2013 B2
8574445 Cho Nov 2013 B2
8592283 Ito Nov 2013 B2
8592327 Ranjan Nov 2013 B2
8617985 Collins Dec 2013 B2
8647535 Malone Feb 2014 B2
8759212 Kume Jun 2014 B2
8765597 Farooq Jul 2014 B2
8859430 Chiba Oct 2014 B2
9029171 Huang May 2015 B2
9384980 Yoshimizu Jul 2016 B2
20010005635 Kitagawa Jun 2001 A1
20010051420 Besser Dec 2001 A1
20030054656 Soda Mar 2003 A1
20040029386 Lee Feb 2004 A1
20040150075 Kaji Aug 2004 A1
20050106762 Chakrapani May 2005 A1
20050127515 Knorr Jun 2005 A1
20050151266 Yoshizawa Jul 2005 A1
20050170269 Nakagawa et al. Aug 2005 A1
20060003577 Sone Jan 2006 A1
20060019485 Komai Jan 2006 A1
20060099802 Lin May 2006 A1
20060151887 Oh Jul 2006 A1
20060240187 Weidman Oct 2006 A1
20070037374 Hayashi Feb 2007 A1
20070082488 Katou Apr 2007 A1
20080054467 Ohba Mar 2008 A1
20080179747 Sakai Jul 2008 A1
20090017563 Jiang Jan 2009 A1
20090085173 Boemmels Apr 2009 A1
20090104774 Furukawa Apr 2009 A1
20090134521 Liu et al. May 2009 A1
20090283910 Hinomura Nov 2009 A1
20100304566 Fischer Dec 2010 A1
20110049718 Matsumoto Mar 2011 A1
20110256715 Pan Oct 2011 A1
20130001710 Daneman et al. Jan 2013 A1
20130277853 Yang Oct 2013 A1
20140145332 Ryan May 2014 A1
Non-Patent Literature Citations (1)
Entry
Lu, X. et al., “Low-temperature rapid synthesis of high-quality pristine or boron-doped graphene via Wurtz-type reductive coupling reaction,” Journal of Materials Chemistry, 2011, DOI: 10.1039/c1jm11184a; www.rsc.org/materials, 5 pages.
Related Publications (1)
Number Date Country
20150001723 A1 Jan 2015 US
Divisions (1)
Number Date Country
Parent 13734892 Jan 2013 US
Child 14490216 US