The present application relates to semiconductor technology, and more particularly to a semiconductor structure including stacked field effect transistors (FETs) having improved cell height scaling.
Stacking of FETs is an attractive architecture for future complementary metal oxide semiconductor (CMOS) scaling, and potentially for ultimately scaled technology. By directly stacking FETs one over the other (for example, pFETs over nFETs, nFETs over pFETs, pFETs over pFETs, or nFETs over nFETs) significant area scaling can be achieved.
In one aspect of the present application, a semiconductor structure is provided. In one embodiment of the present application, the semiconductor structure includes a first stacked FET cell including a second FET stacked over a first FET. The structure further includes a second stacked FET cell located adjacent to the first stacked FET cell and including a fourth FET stacked over a third FET. The structure even further includes a first backside source/drain contact structure located beneath the first stacked FET cell and contacting a source/drain region of the first FET, a second backside source/drain contact structure located beneath the second stacked FET cell and contacting a source/drain region of the third FET, and an angled cut region laterally separating the first backside source/drain contact structure from the second backside source/drain contact structure.
The present application will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.
In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present application. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present application may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present application.
It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
In stacked FETs, backside power rails and backside power distribution networks can greatly improve the routablity of the stacked FETs. However, when backside contacts need to be wired not only to the backside interconnects, but also to the frontside interconnects, the space for the routing can become tight. The present application address this issue.
Referring first to
In the present application, a semiconductor structure is described and illustrated as containing stacked nanosheet transistors. A transistor includes a source region, a drain region, a semiconductor channel region located between the source region and the drain region, and a gate electrode located above the semiconductor channel region. A nanosheet transistor is a non-planar transistor that includes a vertical stack of spaced apart semiconductor channel material nanosheets as the semiconductor channel region with a pair of source/drain regions located at each of the ends of the vertical stack of spaced apart semiconductor channel material nanosheets. The gate structure including a gate dielectric and a gate electrode wraps around each of the spaced apart semiconductor channel material nanosheets. Although stacked nanosheet transistors are described and illustrated, the present application can used with stacked planar transistors, or other stacked non-planar transistors such as, for example, semiconductor nanowire transistors or finFET transistors.
In the present application, the semiconductor structure includes a frontside and a backside. The frontside of the semiconductor structure of the present application includes a side of the structure that includes the transistors, MOL level, and all frontside BEOL structures. The backside of the semiconductor structure of the present application is the side of the structure that is opposite the frontside. In a stacked nanosheet transistor, the frontside can be located on a first side of a bottom dielectric isolation layer, while the backside can be located on a second side of the bottom dielectric isolation layer that is opposite the first side.
Referring now to
The semiconductor structure illustrated in
In some embodiments, and as illustrated in
The first semiconductor layer 10 is composed of a first semiconductor material. The second semiconductor layer 14 is composed of a second semiconductor material. The term “semiconductor material” is used throughout the present application to denote a material having semiconducting properties. Examples of semiconductor materials that can be used in the present application in providing the first semiconductor material and the second semiconductor material include, but are not limited to, silicon (Si), a silicon germanium (SiGe) alloy, a silicon germanium carbide (SiGeC) alloy, germanium (Ge), III/V compound semiconductors or II/VI compound semiconductors. The second semiconductor material that provides the second semiconductor layer 14 can be compositionally the same as, or compositionally different from, the first semiconductor material that provides the first semiconductor layer 10. In some embodiments of the present application, the etch stop layer 12 can be composed of a dielectric material such as, for example, silicon dioxide and/or boron nitride. In other embodiments of the present application, the etch stop layer 12 is composed of a third semiconductor material that is compositionally different from the first semiconductor material that provides the first semiconductor layer 10 and the second semiconductor material that provides the second semiconductor layer 14. In one example, the first semiconductor layer 10 is composed of silicon, the etch stop layer 12 is composed of silicon dioxide, and the second semiconductor layer 14 is composed of silicon. In another example, the first semiconductor layer 10 is composed of silicon, the etch stop layer 12 is composed of silicon germanium, and the second semiconductor layer 14 is composed of silicon. The substrate including the first semiconductor layer 10, the etch stop layer 12 and the second semiconductor layer 14 can be formed utilizing techniques well known to those skilled in the art.
The shallow trench isolation structures 16 can be formed into the substrate; in the illustrated embodiment the shallow trench isolation structures 16 are formed into the second semiconductor layer 14. Each shallow trench isolation structure 16 is composed of a trench dielectric material such as, for example, silicon oxide. In some embodiments, a trench dielectric liner composed of, for example, SiN, can be present along a sidewall and a bottom wall of the trench dielectric material. Each shallow trench isolation structure 16 can have a topmost surface that is coplanar with a topmost surface of the non-etched portion of the substrate; in the illustrated embodiment each shallow trench isolation structure 16 can have a topmost surface that is coplanar with a topmost surface of the non-etched portion of the second semiconductor layer 14.
The first placeholder material layer 18L is composed of fourth semiconductor material that is compositionally different from the second semiconductor material that provides the second semiconductor layer 14 of the substrate (10/12/14). In one example, the fourth semiconductor material that provides the first placeholder material layer 18L is composed of a SiGe alloy including from 55 to 70 atomic percent germanium.
The first material stack, MS1, is composed of alternating layers of a first sacrificial semiconductor material layer 20L and a first semiconductor channel material layer 22L. In some embodiments and as is illustrated in
In some embodiments, the sixth semiconductor material that provides each first semiconductor channel material layer 22L is capable of providing high channel mobility for n-type field effect transistor (FET) devices. In other embodiments, the sixth semiconductor material that provides each first semiconductor channel material layer 22L is capable of providing high channel mobility for p-type FET devices. The fifth semiconductor material that provides each first sacrificial semiconductor material layer 20L, and the sixth semiconductor material that provides each first semiconductor channel material layer 22L can include one of the semiconductor materials mentioned above. In one example, each first sacrificial semiconductor material layer 20L is composed of a silicon germanium alloy having a germanium content from 20 atomic percent to 40 atomic percent, and each first semiconductor channel material layer 22L is composed of silicon. Other combinations of semiconductor materials are possible as long as the fifth semiconductor material that provides each first sacrificial semiconductor material layer 20L is compositionally different from the sixth semiconductor material that provides each first semiconductor channel material layer 22L, and that both the fifth and sixth semiconductor materials are different from the fourth semiconductor material.
Each first sacrificial semiconductor material layer 20L can have a first thickness, and each first semiconductor channel material layer 22L can have a second thickness. In the present application, the first thickness can be equal to, greater than, or less than, the second thickness.
The second placeholder material layer 24L is compositionally the same as the first placeholder material layer 18L. Thus, the second placeholder material layer 24L is composed of the fourth semiconductor material. In one example, the fourth semiconductor material that provides both the first placeholder material layer 18L and the second placeholder material layer 24L is composed of a SiGe alloy including from 55 to 70 atomic percent germanium.
The second material stack, MS2, is composed of alternating second sacrificial semiconductor material layers 26L and second semiconductor channel material layers 28L. In some embodiments and as is illustrated in
In some embodiments, the eighth semiconductor material that provides each second semiconductor channel material layer 28L is capable of providing high channel mobility for n-type field effect transistor (FET) devices. In other embodiments, the eighth semiconductor material that provides each second semiconductor channel material layer 28L is capable of providing high channel mobility for p-type FET devices. The seventh and eighth semiconductor materials can include one of the semiconductor materials mentioned above.
In one embodiment, the first placeholder material layer 18L and the second placeholder material layer 24L are both composed of a SiGe alloy including from 55 to 70 atomic percent germanium, the first sacrificial semiconductor material layers 20L and the second sacrificial semiconductor material layers 26L are composed of a silicon germanium alloy having a germanium content from 20 atomic percent to 40 atomic percent, and the first semiconductor channel material layers 22L are composed of silicon, and the second semiconductor channel material layers 28L are composed of germanium.
Each second sacrificial semiconductor material layer 26L can have a third thickness, and each second semiconductor channel material layer 28L can have a fourth thickness. In the present application, the third thickness can be equal to, greater than, or less than, the fourth thickness. In the present application, the third and fourth thicknesses can be equal to, greater than, or less than the first thickness and/or the second thickness.
Each sacrificial gate structure 30 includes at least a sacrificial gate material. In some embodiments, each sacrificial gate structure 30 can also include a sacrificial gate dielectric material. In such embodiments, the sacrificial gate dielectric material would be located beneath the sacrificial gate material. The optional sacrificial gate dielectric material can be composed of a dielectric material such as, for example, silicon dioxide. The sacrificial gate material can be composed of, for example, polysilicon, amorphous silicon, amorphous silicon germanium or amorphous germanium.
The sacrificial gate cap 32 is composed of a hard mask material such as, for example, silicon nitride. In some embodiments, the sacrificial gate cap 32 can be omitted from on top of the sacrificial gate structure 30.
Referring now to
The removal of the first placeholder material layer 18L and the second placeholder material layer 24L can be performed utilizing a selective etching process. This removal forms a first cavity beneath the first material stack, MS1, and a second cavity between the second material stack, MS2, and the first material stack, MS2. During this removal process, the structure is anchored by the sacrificial gate structures 30, and if present, the sacrificial gate caps 32.
After forming the first and second cavities mentioned above, a dielectric material is formed to provide the gate spacer 38 along a sidewall of each sacrificial gate structure 30, the bottom dielectric isolation layer 34 (in the first cavity beneath the first material stack, MS1) and the device isolation layer 36 (in the second cavity that is located between the second material stack, MS2, and the first material stack, MS1. The dielectric material used in forming the bottom dielectric isolation layer 34, the device isolation layer 36, and the gate spacer 38 includes, but is not limited to, silicon dioxide, SiN, SiBCN, SiOCN or SiOC. The dielectric material can be formed utilizing a deposition process including, for example, CVD, PECVD or ALD.
The patterning step employed in providing the structure illustrated in
The portion of the second material stack, MS2, that remains beneath each sacrificial gate structure 30 can be referred to as a second nanosheet material stack, NS2. Each second nanosheet material stack, NS2, includes unetched portions of each second sacrificial semiconductor material layer 26L and each second semiconductor channel material layer 28L. The unetched portion of each second sacrificial semiconductor material layer 26L can be referred to herein as a second sacrificial semiconductor material nanosheet 26, and the unetched portion of each second semiconductor channel material layer 28L can be referred to as a second semiconductor channel material nanosheet 28.
The portion of the first material stack, MS1, that remains beneath each sacrificial gate structure 30 can be referred to as a first nanosheet material stack, NS1. Each first nanosheet material stack, NS1, includes unetched portions of each first sacrificial semiconductor material layer 20L and each first semiconductor channel material layer 22L. The unetched portion of each first sacrificial semiconductor material layer 20L can be referred to herein as a first sacrificial semiconductor material nanosheet 20, and the unetched portion of each first semiconductor channel material layer 22L can be referred to as a first semiconductor channel material nanosheet 22.
In the present application, each nanosheet has a length along the A-A cut that is less than the length of each of the original first sacrificial semiconductor material layers 20L, original first semiconductor channel material layers 22L, original second sacrificial semiconductor layers 26L, and original second semiconductor channel material layers 28L.
As is illustrated, an individual second nanosheet material stack, NS2 is stacked above, one of the first nanosheet material stacks, NS1. Collectively, each stacked NS1 and NS2 configuration can be referred to as a patterned nanosheet containing structure.
After the patterning process mentioned above, each first sacrificial semiconductor material nanosheet 20 and each second sacrificial semiconductor material nanosheet 26 is subjected to a lateral etch that removes end portions each of first sacrificial semiconductor material nanosheet 20 and each second sacrificial semiconductor material nanosheet 26. The lateral etch can include a single lateral etching process or two different lateral etching process can be used. The inner spacer 40 is then formed at the ends of each first sacrificial semiconductor material nanosheet 20 and each second sacrificial semiconductor material nanosheet 26 that were subjected to the lateral etch. The inner spacer 40 is composed of one of dielectric materials mentioned above for providing the bottom dielectric isolation layer 34, the device isolation layer 36, and the gate spacer 38. The dielectric material that provides each inner spacer 40 can be compositionally the same as, or compositionally different from, the dielectric material that provides the bottom dielectric isolation layer 34, the device isolation layer 36, and the gate spacer 38. Inner spacer 40 can be formed by a deposition process, followed by a spacer etch.
Referring now to
After forming the openings 42 and prior to performed the processing steps illustrated in
Referring now to
The sacrificial material structure 44 can be formed by deposition of a dielectric material, followed by a recess etch to reduce the height of the deposited dielectric material. Illustrative examples of dielectric materials that can be used in providing the sacrificial material structure 44 include, but are not limited to, SiC, SiOC or AlOx.
The bottom source/drain regions 46 are typically formed by an epitaxial growth process. Throughout the present application, the terms “epitaxial growth” or “epitaxially growing” mean the growth of a semiconductor material on a growth surface of another semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the growth surface of the another semiconductor material. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the growth surface of the another semiconductor material with sufficient energy to move around on the growth surface and orient themselves to the crystal arrangement of the atoms of the growth surface. Examples of various epitaxial growth process apparatuses that can be employed in the present application include, e.g., rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for epitaxial deposition typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking. Following the epitaxial growth process, a recess etch can be used to reduce the height of the bottom source/drain regions. The bottom source/drain regions 46 do not extend above the bottommost layer of the device isolation layer 36.
The bottom source/drain regions 46 extend outward from a sidewall of each first semiconductor channel material nanosheet 22. The bottom source/drain regions 46 are located on an upper surface of the sacrificial material structure 44 or an upper surface of bottom dielectric isolation layer 34. Each of the bottom source/drain regions 46 is composed of a semiconductor material and a first dopant. As used herein, a “source/drain” region can be a source region or a drain region depending on subsequent wiring and application of voltages during operation of the transistor. The semiconductor material that provides each bottom source/drain region 46 is composed of one of the semiconductor materials mentioned above for the first semiconductor layer 10. The semiconductor material that provides the bottom source/drain regions 46 can be compositionally the same, or compositionally different from each first semiconductor channel material nanosheet 22. The first dopant that is present in the bottom source/drain regions 46 can be either a p-type dopant or an n-type dopant. The term “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing semiconductor material, examples of p-type dopants, i.e., impurities, include, but are not limited to, boron, aluminum, gallium, phosphorus and indium. “N-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing semiconductor material, examples of n-type dopants, i.e., impurities, include, but are not limited to, antimony, arsenic and phosphorous. In one example, each of the bottom source/drain regions 44 can have a dopant concentration of from 4×1020 atoms/cm3 to 3×1021 atoms/cm3.
After bottom source/drain region 46 formation, the first frontside ILD layer 48 is formed. The first frontside ILD layer 48 is composed of a dielectric material including, for example, silicon oxide, silicon nitride, undoped silicate glass (USG), fluorosilicate glass (FSG), borophosphosilicate glass (BPSG), a spin-on low-k dielectric layer, a chemical vapor deposition (CVD) low-k dielectric layer or any combination thereof. The term “low-k” as used throughout the present application denotes a dielectric material that has a dielectric constant of less than 4.0 (all dielectric constants mentioned herein are relative to a vacuum unless otherwise noted). The first frontside ILD layer 48 can be formed by a deposition process including, but not limited to, CVD, PECVD or spin-on coating. A recess etch typically follows the deposition process. The first frontside ILD layer 48 is formed on physically exposed surfaces (including a topmost surface and sidewall surfaces) of each bottom source/drain region 46. The first frontside ILD layer 48 has a height that does not extend to the bottommost surface of the bottommost second semiconductor channel material nanosheet 28.
Next, the top source/drain regions 50 are formed. The top source/drain regions 50 are typically formed by an epitaxial growth process. A recess etch can follow the epitaxial growth process. The top source/drain regions 50 extend outward from a sidewall of each second semiconductor channel material nanosheet 28. The top source/drain regions 50 are located on an upper surface of the first frontside ILD layer 48. The first frontside ILD layer 48 thus separates the bottom source/drain regions 46 from the top source/drain regions 50. Each top source/drain region 50 is composed of a semiconductor material and a second dopant. The semiconductor material that provides each top source/drain region 50 is composed of one of the semiconductor materials mentioned above for the first semiconductor layer 10. The semiconductor material that provides the top source/drain regions 50 can be compositionally the same, or compositionally different from each second semiconductor channel material nanosheet 28. The second dopant that is present in the top source/drain regions 50 can be either a p-type dopant or an n-type dopant, both of which have been defined above. The second dopant can be the same as, or different from, the first dopant. In one example, the first dopant is an n-type dopant, and the second dopant is a p-type dopant. In another example, the first dopant is a p-type dopant, and the second dopant is an n-type dopant. In yet another example, the first dopant and the second dopant are both n-type. In yet a further example, the first dopant and the second dopant are both p-type. Each of the top source/drain regions 50 can have a dopant concentration of from 4×1020 atoms/cm3 to 3×1021 atoms/cm3. The present application thus contemplates forming stacked FETs including. for example, pFETs over nFETs, nFETs over pFETs, pFETs over pFETs, or nFETs over nFETs.
The second frontside ILD layer 52 is then formed on physically exposed surfaces (including topmost and sidewall surfaces) of the topmost source/drain regions 50 and on a topmost surface of the first frontside ILD layer 48. The second frontside ILD layer 52 can include one of the dielectric materials mentioned above for the first frontside ILD layer 48. The dielectric material that provides the second frontside ILD layer 52 can be compositionally the same as, or compositionally different from, the dielectric material that provides the first frontside ILD layer 48. The second frontside ILD layer 52 can be formed utilizing a deposition process such as mentioned above for forming the first frontside ILD layer 48. A planarization process such as, for example, chemical mechanical polishing (CMP) follows the deposition process that provides the second frontside ILD layer 52. The planarization process removes the sacrificial gate cap 32 (if the same is present) and an upper portion of each gate spacer 38. The sacrificial gate structures 30 are physically exposed after this planarization process has been performed.
The physically exposed sacrificial gate structures 30 are removed utilizing any material removal process such as, for example, etching, which is selective in removing the sacrificial gate structures 30. The removal of the sacrificial gate structures 30 reveals each of the patterned nanosheet containing structures. Next, each first sacrificial semiconductor material nanosheet 20 and each second sacrificial semiconductor material nanosheet 26 are removed so as to suspend each first semiconductor channel material nanosheet 22 and each second semiconductor channel material nanosheet 28 within each patterned nanosheet containing structure. The removal of the first sacrificial semiconductor material nanosheets 20 and second sacrificial semiconductor material nanosheets 26 includes any material removal process such as, for example, etching, which is selective in removing the first sacrificial semiconductor material nanosheets 20 and second sacrificial semiconductor material nanosheets 26.
Gates structures 54 are then formed. The gate structures 54 include a gate dielectric material and a gate electrode, both of which are not separately shown, but intended to be within region defined by the gate structures 54. As is known to those skilled in the art, the gate dielectric material directly contacts a physically exposed surface(s) of each semiconductor channel material nanosheet, and the gate electrode is formed on the gate dielectric material. The gate dielectric material has a dielectric constant of 4.0 or greater. Illustrative examples of gate dielectric materials include, but are not limited to, silicon dioxide, hafnium dioxide (HfO2), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiO), lanthanum oxide (La2O3), lanthanum aluminum oxide (LaAlO3), zirconium dioxide (ZrO2), zirconium silicon oxide (ZrSiO4), zirconium silicon oxynitride (ZrSiOxNy), tantalum oxide (TaOx), titanium oxide (TiO), barium strontium titanium oxide (BaO6SrTi2), barium titanium oxide (BaTiO3), strontium titanium oxide (SrTiO3), yttrium oxide (Yb2O3), aluminum oxide (Al2O3), lead scandium tantalum oxide (Pb(Sc,Ta)O3), and/or lead zinc niobite (Pb(Zn,Nb)O). The gate dielectric material can further include dopants such as lanthanum (La), aluminum (Al) and/or magnesium (Mg).
The gate electrode can include a work function metal (WFM) and optionally a conductive metal. The WFM can be used to set a threshold voltage of the transistor to a desired value. In some embodiments, the WFM can be selected to effectuate an n-type threshold voltage shift. “N-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a conduction band of silicon in a silicon-containing material. In one embodiment, the work function of the n-type work function metal ranges from 4.1 eV to 4.3 eV. Examples of such materials that can effectuate an n-type threshold voltage shift include, but are not limited to, titanium aluminum, titanium aluminum carbide, tantalum nitride, titanium nitride, hafnium nitride, hafnium silicon, or combinations and thereof. In other embodiments, the WFM can be selected to effectuate a p-type threshold voltage shift. In one embodiment, the work function of the p-type work function metal ranges from 4.9 eV to 5.2 eV. As used herein, “threshold voltage” is the lowest attainable gate voltage that will turn on a semiconductor device, e.g., transistor, by making the channel of the device conductive. The term “p-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a valence band of silicon in the silicon containing material. Examples of such materials that can effectuate a p-type threshold voltage shift include, but are not limited to, titanium nitride, and tantalum carbide, hafnium carbide, and combinations thereof. The optional conductive metal can include, but is not limited to aluminum (Al), tungsten (W), or cobalt (Co). The gate structures 54 can be formed by deposition of the gate dielectric material, and gate electrode material, followed by a planarization process. At this point of the present application, the gate structures 54 have a topmost surface that is coplanar with a topmost surface of the second frontside ILD layer 52.
Referring now to
The at least one gate structure 54 can be cut utilizing any gate cut process that includes lithography and etching. The etch forms gate cut openings 58 as illustrated in
Referring now to
Referring now to
Referring now to
Referring now to
The metallization forms top source/drain contact structures 70A, top gate contact structures 70B, combined top and bottom source/drain contact structures 70C, first deep via 71A, second deep via 71B and a combined top source/drain contact structure/deep via 71C. In the present application, each top source/drain structure 70A contacts one of the top source/drain regions 50, each top gate contact structure 70B contacts one of the gate structures 54, and each combined top and bottom source/drain contact structure 70C contacts both a top source/drain region 50 and a bottom source/drain region 46. In the present application, the term “deep via” is used to denote a contact conductor material-containing structure that is present in a via opening.
In the present application, the first deep via 71A and the combined top source/drain contact structure/deep via 71C land on a subsurface of one of the shallow trench isolation structures 16, and the second deep via 71B lands on a surface of one of the sacrificial material structures 44. In the present application, the outer dielectric liner 60 is present on a sidewall of each of the first deep via 71A, the second deep via 71B and the combined top source/drain contact structure/deep via 71C. In the present application, a lower portion of the first deep via 71A is present in one of the shallow trench isolation structures 16, a middle portion of the first deep via 71A is located laterally adjacent to two neighboring gate structures 54, and a top portion of the first deep via 71A is located in the MOL dielectric layer 56. In the present application, the second deep via 71B is present between the bottom and top source/drain regions of two neighboring gate structures 54. In the present application, a lower portion of the combined top source/drain contact structure/deep via 71C is present in one of the shallow trench isolation structures 16, a middle portion of the combined top source/drain contact structure/deep via 71C is located laterally adjacent to two neighboring gate structures 54, and a top portion of the combined top source/drain contact structure/deep via 71C is located in the MOL dielectric layer 56.
The initial frontside BEOL structure including lower interconnect levels is then formed. The initial frontside BEOL structure includes a fourth frontside ILD layer 74 and wiring structures including a first via structure, V0, and a first metal line, M1. The V0 are typically merged with one of the M1s. The fourth frontside ILD layer 74 is composed of one of the dielectric materials mentioned above for the first frontside ILD layer 48. The fourth frontside ILD layer 74 is formed via a deposition process on the MOL dielectric layer 56 and each of the frontside contact structures and deep vias that are present in the structure shown in
The additional frontside BEOL structure 76 is then formed on the initial frontside BEOL structure. The additional frontside BEOL structure 76 can include one or more interconnect dielectric material layers (including one of the dielectric materials mentioned above for the first frontside ILD layer 48) that contain frontside metal wires (the metal wires can be composed of any electrically conductive metal or electrically conductive metal alloy) embedded therein. The additional frontside BEOL structure 76 can include “x” numbers of frontside metal levels, wherein “x” is an integer starting from 1. The additional frontside BEOL structure 76 can be formed utilizing techniques well known to those skilled in the art.
As is illustrated in
The carrier wafer 78 can include one of the semiconductor materials mentioned above for the first semiconductor layer 10. Carrier wafer 78 is bonded to the additional frontside BEOL structure 78 after additional frontside BEOL structure 76 formation.
Referring now to
In the illustrated embodiment, the removal of the physically exposed first semiconductor layer 10 physically exposes the etch stop layer 12. The removal of the first semiconductor layer 10 can be performed utilizing a material removal process that is selective in removing the first semiconductor material that provides the first semiconductor layer 10.
Referring now to
Referring now to
Referring now to
Referring now to
The first backside source/drain contact structure 83A includes a first portion, P1, having a first critical dimension, CD1, and a second portion, P2, having a second critical dimension CD2, wherein the first critical dimension is less than the second critical dimension. The second backside source/drain contact structure 83B includes a first portion, P1, having a first critical dimension, CD1, and a second portion, P2, having a second critical dimension, CD2, wherein the first critical dimension is greater than the second critical dimension.
Referring now to
Referring now to
Notably,
In embodiments of the present application, and as mentioned above. the first backside source/drain contact structure 83A includes a first portion, P1, having a first critical dimension, and a second portion, P2, having a second critical dimension, wherein the first critical dimension is less than the second critical dimension. As is illustrated, the first portion, P1, of the first backside source/drain contact structure 83A is in contact with the source/drain region (i.e., bottom source/drain region 40) of the first FET, FET_1, and the second portion, P2, of the first backside source/drain contact structure 83A is electrically connected to additional backside BEOL structure 88 by the backside wiring structures, BV0 and BM1, present in the initial backside BEOL structure.
In embodiments of the present application, and as is mentioned above, the second backside source/drain contact structure 83B includes a first portion, P1, having a first critical dimension, and a second portion, P2, having a second critical dimension, wherein the first critical dimension is greater than the second critical dimension. As is illustrated, the second portion, P2, of the second backside source/drain contact 83B is in contact with the source/drain region (bottom source/drain region 40) of the third FET, FET_3.
In embodiments of the present application, the structure includes the initial frontside BEOL structure and the additional frontside BEOL structure 76 above both the first stacked FET cell, C1, and the second stacked FET cell, C2, wherein the source/drain region (i.e., bottom source/drain region 46) of the third FET, FET_3, is electrically connected to the additional frontside BEOL structure 76 by second deep via 71B and frontside metal wiring structures present in the initial frontside BEOL structure.
In embodiments of the present application, the second deep via 71B is a vertical extending pillar located laterally between the first stacked FET cell, C1, and the second stacked FET cell, C2. In embodiments, outer dielectric liner 62 is present on a sidewall of the second deep via 71B.
In embodiments of the present application, a source/drain region (top source/drain region 50 of the second transistor, FET_2, is electrically connected to the additional frontside BEOL 76 structure by other frontside metal wiring structures, i.e., V0 and M1, present in the initial frontside BEOL structure.
In embodiments of the present application, a source/drain region (i.e., top source/drain region 50) of the fourth transistor, FET_4, is electrically connected to the additional backside BEOL structure 76 by combined top source/drain contact structure/deep via 71C, and other backside wiring structures, BV0 and BM1, present in the initial backside BEOL structure.
In embodiments, combined top source/drain contact structure/dep via 71C includes a frontside source/drain contact structure merged with deep via.
In embodiments, the first backside source/drain contact structure 83A has an angled sidewall facing an angled sidewall of second backside source/drain contact structure 83B. In embodiments, each of the first backside source/drain contact structure 83A and the second backside source/drain contact structure 83B has a perpendicular sidewall opposite the angled sidewall.
In embodiments, the first FET, FET_1, and the second FET, FET_2, share a common gate structure 54, and the third FET, FET_3, and the fourth FET, FET_4, share a common gate structure 54.
In embodiments, a third stacked FET cell, C3, is located adjacent to the first stacked FET cell, C1, wherein the first stacked FET cell and the third stacked FET cell are separated by bilayer sacrificial material structure including outer dielectric liner 60 and inner dielectric plug 62.
While the present application has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present application. It is therefore intended that the present application not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.