Three dimensional integration and methods of through silicon via creation

Information

  • Patent Grant
  • 8569154
  • Patent Number
    8,569,154
  • Date Filed
    Friday, March 16, 2012
    12 years ago
  • Date Issued
    Tuesday, October 29, 2013
    10 years ago
Abstract
A method includes patterning a photoresist layer on a structure to define an opening and expose a first planar area on a sacrificial substrate layer, etching to the exposed first planar area to form a cavity having a first depth in the structure, removing a portion of the photoresist to increase the size of the opening to define a second planar area on the sacrificial substrate layer, forming a doped portion in the sacrificial substrate layer, and etching the cavity to increase the depth of the cavity to expose a first conductor in the structure and to increase the planar area and depth of a portion of the cavity to expose a second conductor in the structure.
Description
BACKGROUND

The present invention relates to semiconductor device manufacturing techniques, specifically fabrication of through silicon vias (TSVs) with multiple diameters.


In the electronics industry, packaging density continuously increases in order to accommodate more electronic devices into a package. In this regard, three-dimensional (3D) stacking technology of wafers and/or chips contributes to the device integration process. Typically, a semiconductor wafer (a semiconductor device/substrate) or chip (a semiconductor device) includes several layers of integrated circuitry (e.g., processors, programmable devices, memory devices, etc.) built on a silicon substrate. A top layer of the wafer may be connected to a bottom layer of the wafer through silicon interconnects or vias. Typical vias include metallic material formed in cavities in the semiconductor that electrically connect conductive contacts disposed in different areas of a device. In order to form a 3D wafer stack, two or more wafers are placed on top of one other and bonded together.


Previous methods for electrically connecting the wafers used vias that consumed geometric space on the wafers or chips by connecting multiple vias of a single diameter utilizing additional wiring levels. Alternately, the formation of TSVs with complex shapes, such as multiple diameters in a single TSV, used inefficient fabrication methods utilizing additional mask layers and patterning steps, which added cost, complexity, and process time to the manufacturing process.


BRIEF SUMMARY

According to one exemplary embodiment of the present invention, a method includes patterning a photoresist layer on a structure to define an opening and expose a first planar area on a sacrificial substrate layer, etching to the exposed first planar area to form a cavity having a first depth in the structure, removing a portion of the photoresist to increase the size of the opening to define a second planar area on the sacrificial substrate layer, forming a doped portion in the sacrificial substrate layer, and etching the cavity to increase the depth of the cavity to expose a first conductor in the structure and to increase the planar area and depth of a portion of the cavity to expose a second conductor in the structure.


Additional features and advantages are realized through the techniques of the present invention. Other embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed invention. For a better understanding of the invention with the advantages and the features, refer to the description and to the drawings.





BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS

The subject matter which is regarded as the invention is particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The drawings are not necessarily drawn to scale. The forgoing and other features, and advantages of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:



FIG. 1 illustrates a side cut away view of an exemplary embodiment of a portion of a three-dimensional interconnect (IC) structure having multiple bonded silicon substrates.



FIGS. 2A-9 illustrate exemplary methods for forming a through silicon via (TSV) in the IC structure of FIG. 1.



FIGS. 10-13 illustrate an alternate exemplary method for forming a through silicon via interconnects.



FIGS. 14-18 illustrate yet another alternate embodiment of a method for forming through silicon via interconnects.





DETAILED DESCRIPTION


FIG. 1 illustrates a cross section view of an exemplary embodiment of a portion of a three-dimensional interconnect (3D IC) structure having a first component 102 arranged on a second component 104. The first component 102 and second component 104 may represent a portion of a silicon wafer or chip, in which the wafer or chip include a front-end-of-line (FEOL), middle-of-line (MOL), and back-end-of-line (BEOL) structures formed thereon, as known in the art. The first component 102 includes a substrate portion 106, and a wiring level portion 108, which may include, for example, a conductive line embedded in a dielectric layer. The substrate portion 106 includes a semiconductor material, which may be a single crystalline substrate which may be selected from, but is not limited to, silicon, germanium, silicon-germanium alloy, silicon carbon alloy, silicon-germanium-carbon alloy, gallium arsenide, indium arsenide, indium phosphide, III-V compound semiconductor materials, II-VI compound semiconductor materials, organic semiconductor materials, and other compound semiconductor materials. The second component 104 is similar to the first component 102, and includes a substrate portion 110 and a wiring level portion 112. Cap layers 114, for example, nitride layers of, for example, a silicon nitride material, may be arranged on the top surfaces of wiring level portions 108 and 112. For illustrative purposes, one capping layer per wiring level is depicted, although it is understood to those practicing in the art that additional capping layers may be dispersed throughout the bonded 3D IC structure. The top surface of second component 104 and the top surface of first component 102 can be brought together in ‘face-to-face” arrangement and may be bonded by a bonding material 116 comprising, for example, an adhesive or a metallic layer such as, for example copper, or bonded with an oxide-oxide process, or other bonding process known in the art. Alternate orientations of the three-dimensional IC structure may include, for example back-to-face bonding wherein an exposed top surface of a first component is bonded to a substrate portion of another second component. The arrangements may include an oxide or dielectric layer, which is not shown, deposited on first component 102 substrate portion 106. The substrate portion 106 may be relatively thinner than the substrate portion 110 of the second component 104. The first component 102 includes at least one conductive contact 120, and the second component 104 includes at least one conductive contact 122, where both conductive contacts are fabricated prior to the bonding of component 102 and component 104.


Though the illustrated embodiments include a 3D IC structure in a face-to-face arrangement of two bonded components, alternate embodiments may include any number of bonded components, which can be arranged, for example, face-to-face, face-to-back, or back-to-back. An optical planarization layer (OPL) 117 is deposited on an exposed surface of the bonded 3D IC. FIG. 1 illustrates layer 117 deposited on substrate portion 106 (i.e., the “back” of component 102) but in alternate arrangements 117 could be deposited on an exposed ‘top’ surface of a component. Sacrificial silicon layer 119 may be deposited on the top surface of ODL layer 117. ODL layer 117 may include any material that functions as an optical planarization layer known in the art, such as, for example, amorphous carbon. Sacrificial silicon layer 119 may also be relatively thinner than substrate portions 106 and 110.


The FIGS. 2A-9 illustrate an exemplary method for forming a TSV in the 3D IC structure described above. In this regard, FIG. 2A illustrates a photoresist layer 202 deposited on the sacrificial silicon layer 119. The photoresist layer 202 is patterned to define an opening 204 that exposes a first planar area of the sacrificial silicon layer 119. FIG. 2B illustrates a top view along the line A-A (of FIG. 2A) of a portion of the photoresist layer 202 including opening 204.



FIG. 3 illustrates a cavity 302 etched to a first depth (d1). The TSV cavity 302 may be etched using any suitable etching process such as, for example, a reactive ion etching (RIE) process. In the illustrated embodiment, the etching process is timed to form the TSV cavity 302 having the desired first depth (d1). The first depth (d1) may be determined by the thickness of the first component 102, the thickness of the second component 104, the locations of the conductive contacts, and the anisotropy of the etch process.



FIG. 4 illustrates an opening 404 that is defined by the photoresist layer 202. The opening exposes a second planar area of the sacrificial silicon layer 119. The opening 404 is formed by removing a portion of the photoresist layer 202 by, for example, an in-situ O2 flash process that increases the size of the opening 204 (of FIG. 2A). In the illustrated embodiment, the O2 flash process also reduces the thickness of the photoresist layer 202. The opening 204 may be increased in place (“in-situ” without removing the device from the tooling) by using other gases known in the art, including, for example, CO2, CO, N2/H2, and any combination of all of these gases in optimized flow ratios. Such etch processing conditions and parameters that enhance lateral etch can be manipulated in-situ to efficiently attain a desired opening 204 specifications.



FIG. 5A illustrates an implantation of ions 501 in a doped region 502 of the sacrificial silicon layer 119. The ions 501 are implanted at an angle (θ) relative to a normal line 503. The implantation at the angle (θ) defines the doped region 502, and lowers the amount of ions implanted in other exposed regions of the sacrificial silicon layer 119. The angle (θ) may include any number of angles to define the desired doped region 502 geometry, for example, 95 degrees to 175 degrees. FIG. 5B illustrates a top view along the line A-A (of FIG. 5A) of a portion of the photoresist layer 202 and the opening 404. N-doping or similar Group V doping elements can be used for the ions 501 to dope the doped region 502. When etched, the doped region 502 etches faster than undoped regions due to available additional electrons that attach to halogenated etchants (etchants including halogen). The etch chemistry may be chosen to be highly electronegative such that it is selective to the sacrificial silicon layer 119. The anisotropic etch forms a dual-diameter via that has staggered via depths (described below) In an alternate embodiment, p-doping can also be implemented to retard the relative etch rate of the doped region.



FIG. 6 illustrates the structure following etching of the n-doped region 502 of the sacrificial silicon layer 119. Pressure setting in, for example, the range 30 mTorr to 350 mTorr can be used to achieve this etch. Pressure setting between 75 mTorr and 150 mTorr is used in the illustrated embodiment. Various optimized flow combinations of such gases as CF4, CxHyFz, Cl2, HBr, with additives including O2, N2, and Ar may be used. A halogen-based silicon etchant (F/Cl/Br/I) enhances the influence of the doping level on the etch selectivity. The etch selectively removes the doped region 502 from the sacrificial silicon layer 119, and exposes a portion of the optical planarization layer 117.



FIG. 7 illustrates a cavity after another etching process that removes a portion of the optical planarization layer 117. Pressure setting in the range 30 mTorr to 350 mTorr can also be used to achieve the etch. A pressure setting between 75 mTorr and 200 mTorr is used in the illustrated embodiment. Various optimized flow combinations of gasses such as, for example, CF4, CxHyFz, O2, N2, H2, and Ar may be used to achieve this etch at a tuned RF power setting.



FIG. 8 illustrates an enlarged TSV cavity 302 following an etching process that increases the depth of a portion of the TSV cavity 302 to expose the conductive contact 122, and increases the depth of the TSV cavity 302 that was partially defined by the doped region 502 to expose the conductive contact 120. The etching process removes portions of the sacrificial silicon layer 119 and the optical planarization layer 117. For example, gasses such as SiF4, SF6, Ar, O2, and HBr can be used to achieve the etch.



FIG. 9 illustrates a resultant through silicon via [TSV] 900. The via 900 is formed by removing the remaining photoresist layer 202, the sacrificial silicon layer 119, and the optical planarization layer 117. A dielectric isolation region 902 may be formed, and a portion of the dielectric isolation layer is removed to expose portions of the contacts. A barrier/seed layer 904 can be deposited in the TSV cavity 302, and a conductive material 906 such as, for example copper or tungsten, can be deposited in the TSV cavity 302 and then planarized using, for example, a chemical mechanical planarization operation to form the through silicon via 900.



FIGS. 10-13 illustrate an alternate exemplary method for forming a via that is similar to the method described above. In FIG. 10, the 3D IC structure is similar to the IC structure of FIG. 2A, however, the illustrated IC structure does not include the optical planarization layer 117 or the sacrificial silicon layer 119. In this regard, the photoresist layer 202 is deposited directly on the substrate portion 106 to define the opening 204 that exposes a portion of the substrate portion 106 having a first planar area. As noted, a 3D IC structure can be arranged within exposed ‘top’ surface in which case layer 202 can be deposited thereon.



FIG. 11 illustrates a TSV cavity 1102 that is etched to a first depth (hl).



FIG. 12 illustrates an enlarged opening 1204 that is defined by the photoresist layer 202. The opening 1204 exposes a second planar area of the substrate portion 106. The opening 1204 is formed by in-situ removal of a portion of the photoresist layer 202. A doped region 1202 can be formed by the implantation of ions 501 in a portion of substrate portion 106 exposed by opening 1204. The ions 501 are implanted at an angle (θ) relative to a normal line 503 in a similar manner as described above.



FIG. 13 illustrates the TSV cavity 1102 that is formed by etching to expose the contacts 120 and 122. Once the TSV cavity 1102 is etched to expose the contacts 120 and 122, the photoresist layer 202 may be removed, and a through silicon via may be formed in a similar manner as described above. The resultant TSV is similar to the through silicon via 900 (of FIG. 9).



FIGS. 14-18 illustrates yet another alternate embodiment of a method for forming vias. In FIG. 14, the IC structure is similar to the IC structure of FIG. 10. In this regard, the photoresist layer 202 is deposited directly on the exposed substrate portion 106, and is patterned to define an opening 1404 that exposes a portion of the substrate portion 106 having a first planar area.


In FIG. 15, ions 1501 are implanted in the exposed portion of the substrate portion 106 to form a doped region 1502.


In FIG. 16, a portion of the photoresist layer 202 is removed to form an opening 1602. The opening 1602 exposes a second planar area of the substrate portion 106.



FIG. 17 illustrates resultant TSV cavities 1702 and 1704 following an etching process in which both TSVs are etched. The TSV cavities 1702 and 1704 may be etched concurrently. The TSV cavity 1702 exposes the conductive contact 120, and the TSV cavity 1704 exposes the conductive contact 122. The TSV cavity 1704 is etched at a faster rate than the TSV cavity 1702 due to the n-doped region 1502 (of FIG. 15). Alternatively, p-doing could also be used to retard the etch rate of the TSV formation as previously described.



FIG. 18 illustrates resultant through silicon vias 1800. The vias 1800 may be formed by removing the photoresist layer 202, forming dielectric isolation regions 1802, and removing a portion of the dielectric isolation layer to expose portions of the contacts 120 and 122. A barrier/seed layer 1804 and a conductive material 1806 are deposited in the TSV cavities 1702 and 1704 to form the vias 1800. The vias may be connected by a conductive wiring level including the conductive line 1808, embedded in dielectric layer 1809. Wiring level may be formed utilizing standard damascene processing techniques known to those skilled in the art.


The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one ore more other features, integers, steps, operations, element components, and/or groups thereof.


The description is presented for purposes of illustration, but is not intended to be exhaustive or to limit the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention.


The diagrams depicted herein are just examples. There may be many variations to the structure or the steps (or operations) described therein without departing from the spirit of the invention. For instance, the steps may be performed in a differing order or steps may be added, deleted or modified. All of these variations are considered a part of the claimed invention.

Claims
  • 1. A method comprising: patterning a photoresist layer on a structure to define an opening and expose a first planar area on a sacrificial substrate layer, the exposed first planar area aligned vertically with a first conductor embedded in the structure;etching to the exposed first planar area to form a cavity having a first depth in the structure;removing a portion of the photoresist to increase the size of the opening to define a second planar area on the sacrificial substrate layer;forming a doped portion in the sacrificial substrate layer, the doped portion aligned vertically with a second conductor embedded in the structure different from the first conductor;etching the cavity to increase the depth of the cavity, the first conductor being exposed in response to the etching of the cavity; andetching the doped portion to expose an underlying planar area, and etching the underlying planar area to increase the planar area and depth of a portion of the cavity, the second conductor being exposed in response to increasing the planar area and depth.
  • 2. The method of claim 1, wherein the structure includes a first wafer and a second wafer.
  • 3. The method of claim 1, wherein the structure includes a first chip and a second chip.
  • 4. The method of claim 1, wherein the portion of exposed sacrificial substrate layer in the structure is doped with ions deposited at an oblique angle.
  • 5. The method of claim 4, wherein the oblique angle is between 95 degrees and 175 degrees.
  • 6. The method of claim 1, wherein the method further comprises: removing the photoresist layer, the sacrificial substrate layer, and an optical planarization layer;forming a dielectric portion in the cavity;removing a portion of the dielectric layer;depositing a barrier layer on the dielectric layer;filling the cavity with a conductive material; andremoving the excess conductive material by planarization.
  • 7. The method of claim 6, wherein the conductive material is operative to electrically connect the first conductor to the second conductor.
CROSS REFERENCE TO RELATED APPLICATIONS

This is a divisional application of application Ser. No. 12/687,282, filed Jan. 14, 2010.

US Referenced Citations (57)
Number Name Date Kind
5904495 Burke et al. May 1999 A
5915167 Leedy Jun 1999 A
5937324 Abercrombie et al. Aug 1999 A
6133640 Leedy Oct 2000 A
6187657 Xiang et al. Feb 2001 B1
6208545 Leedy Mar 2001 B1
6500755 Dakshina-Murthy et al. Dec 2002 B2
6551857 Leedy Apr 2003 B2
6563224 Leedy May 2003 B2
6632706 Leedy Oct 2003 B1
6664500 Wilbur et al. Dec 2003 B2
6790782 Yang et al. Sep 2004 B1
6808942 Patel et al. Oct 2004 B1
6858361 Mui et al. Feb 2005 B2
6900139 Dakshina-Murthy et al. May 2005 B1
6924088 Mui et al. Aug 2005 B2
6949830 Owada et al. Sep 2005 B2
6953722 Seidl et al. Oct 2005 B2
7041434 Raebiger et al. May 2006 B2
7081408 Lane et al. Jul 2006 B2
7132340 Sadra et al. Nov 2006 B2
7138295 Leedy Nov 2006 B2
7151055 Aminpur et al. Dec 2006 B2
7176126 Oh et al. Feb 2007 B2
7193239 Leedy Mar 2007 B2
7320927 DeLoach et al. Jan 2008 B2
7402515 Arana et al. Jul 2008 B2
7453150 McDonald Nov 2008 B1
7474004 Leedy Jan 2009 B2
7504732 Leedy Mar 2009 B2
7538032 Borwick et al. May 2009 B2
7705466 Leedy Apr 2010 B2
20020132465 Leedy Sep 2002 A1
20030173608 Leedy Sep 2003 A1
20060024948 Oh et al. Feb 2006 A1
20070042599 Tsui et al. Feb 2007 A1
20070045779 Hiatt Mar 2007 A1
20070087504 Pham et al. Apr 2007 A1
20070096312 Humpston et al. May 2007 A1
20070138562 Trezza Jun 2007 A1
20070182014 Usami et al. Aug 2007 A1
20080153187 Luo et al. Jun 2008 A1
20090014843 Kawashita et al. Jan 2009 A1
20090067210 Leedy Mar 2009 A1
20090174082 Leedy Jul 2009 A1
20090175104 Leedy Jul 2009 A1
20090218700 Leedy Sep 2009 A1
20090219742 Leedy Sep 2009 A1
20090219743 Leedy Sep 2009 A1
20090219744 Leedy Sep 2009 A1
20090219772 Leedy Sep 2009 A1
20090230501 Leedy Sep 2009 A1
20100171224 Leedy Jul 2010 A1
20100171225 Leedy Jul 2010 A1
20100172197 Leedy Jul 2010 A1
20100173453 Leedy Jul 2010 A1
20110076845 Tsai et al. Mar 2011 A1
Foreign Referenced Citations (14)
Number Date Country
98803836.6 Jun 2004 CN
200310102636.9 Sep 2004 CN
101188235 Oct 2010 CN
0975472 Feb 2000 EP
1986233 Oct 2008 EP
2002-516033 May 2002 JP
2008028407 Feb 2008 JP
2008166831 Jul 2008 JP
2008166832 Jul 2008 JP
2008172254 Jul 2008 JP
2009-032992 Feb 2009 JP
10-0639752 Oct 2006 KR
10-0785821 Dec 2007 KR
412854 Nov 2000 TW
Non-Patent Literature Citations (1)
Entry
English Abstract of JP2009032992(A) data supplied from the esp@cenet database Worldwide.
Related Publications (1)
Number Date Country
20120190189 A1 Jul 2012 US
Divisions (1)
Number Date Country
Parent 12687282 Jan 2010 US
Child 13422415 US