3D microdisplay device and structure

Information

  • Patent Grant
  • 10679977
  • Patent Number
    10,679,977
  • Date Filed
    Wednesday, March 14, 2018
    6 years ago
  • Date Issued
    Tuesday, June 9, 2020
    3 years ago
Abstract
A 3D micro display, the micro display including: a first single crystal layer including at least one LED driving circuit; and a second single crystal layer including a plurality of light emitting diodes (LEDs), where the second single crystal layer overlays the first single crystal layer, where the second single crystal layer includes at least ten first LED pixels, and where the second single crystal layer and the first single crystal layer are separated by a vertical distance of less than ten microns.
Description
BACKGROUND OF THE INVENTION
(A) Field of the Invention

This invention describes applications of monolithic 3D integration to various disciplines, including but not limited to, for example, light-emitting diodes, displays, image-sensors and solar cells.


(B) Discussion of Background Art

Semiconductor and optoelectronic devices often require thin monocrystalline (or single-crystal) films deposited on a certain wafer. To enable this deposition, many techniques, generally referred to as layer transfer technologies, have been developed. These include:

    • Ion-cut, variations of which are referred to as smart-cut, nano-cleave and smart-cleave: Further information on ion-cut technology is given in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978 (2003) by G. K. Celler and S. Cristolovean (“Celler”) and also in “Mechanically induced Si layer transfer in hydrogen-implanted Si wafers,” Appl. Phys. Lett., vol. 76, pp. 2370-2372, 2000 by K. Henttinen, I. Suni, and S. S. Lau (“Hentinnen”).
    • Porous silicon approaches such as ELTRAN: These are described in “Eltran, Novel SOI Wafer Technology”, JSAP International, Number 4, July 2001 by T. Yonehara and K. Sakaguchi (“Yonehara”).
    • Lift-off with a temporary substrate, also referred to as epitaxial lift-off. This is described in “Epitaxial lift-off and its applications”, 1993 Semicond. Sci. Technol. 8 1124 by P. Demeester, et al (“Demeester”).
    • Bonding a substrate with single crystal layers followed by Polishing, Time-controlled etch-back or Etch-stop layer controlled etch-back to thin the bonded substrate: These are described in U.S. Pat. No. 6,806,171 by A. Ulyashin and A. Usenko (“Ulyashin”) and “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, p. 363 (2005) by A. W. Topol, D. C. La Tulipe, L. Shi, S. M. Alam, D. J. Frank, S. E. Steen, J. Vichiconti, D. Posillico, M. Cobb, S. Medd, J. Patel, S. Goma, D. DiMilia, M. T. Robson, E. Duch, M. Farinelli, C. Wang, R. A. Conti, D. M. Canaperi, L. Deligianni, A. Kumar, K. T. Kwietniak, C. D'Emic, J. Ott, A. M. Young, K. W. Guarini, and M. Ieong (“Topol”).
    • Bonding a wafer with a Gallium Nitride film epitaxially grown on a sapphire substrate followed by laser lift-off for removing the transparent sapphire substrate: This method may be suitable for deposition of Gallium Nitride thin films, and is described in U.S. Pat. No. 6,071,795 by Nathan W. Cheung, Timothy D. Sands and William S. Wong (“Cheung”).
    • Rubber stamp layer transfer: This is described in “Solar cells sliced and diced”, 19 May 2010, Nature News.


      With novel applications of these methods and recognition of their individual strengths and weaknesses, one can significantly enhance today's light-emitting diode (LED), display, image-sensor and solar cell technologies.


Background on LEDs

Light emitting diodes (LEDs) are used in many applications, including automotive lighting, incandescent bulb replacements, and as backlights for displays. Red LEDs are typically made on Gallium Arsenide (GaAs) substrates, and include quantum wells constructed of various materials such as AlInGaP and GaInP. Blue and green LEDs are typically made on Sapphire or Silicon Carbide (SiC) or bulk Gallium Nitride (GaN) substrates, and include quantum wells constructed of various materials such as GaN and InGaN.


A white LED for lighting and display applications can be constructed by either using a blue LED coated with phosphor (called phosphor-coated LED or pcLED) or by combining light from red, blue, and green LEDs (called RGB LED). RGB LEDs are typically constructed by placing red, blue, and green LEDs side-by-side. While RGB LEDs are more energy-efficient than pcLEDs, they are less efficient in mixing red, blue and green colors to form white light. They also are much more costly than pcLEDs. To tackle issues with RGB LEDs, several proposals have been made.


One RGB LED proposal from Hong Kong University is described in “Design of vertically stacked polychromatic light emitting diodes”, Optics Express, June 2009 by K. Hui, X. Wang, et al (“Hui”). It involves stacking red, blue, and green LEDs on top of each other after individually packaging each of these LEDs. While this solves light mixing problems, this RGB-LED is still much more costly than a pcLED solution since three LEDs for red, blue, and green color need to be packaged. A pcLED, on the other hand, requires just one LED to be packaged and coated with phosphor.


Another RGB LED proposal from Nichia Corporation is described in “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well”, Japanese Journal of Applied Physics, 2002 by M. Yamada, Y. Narukawa, et al. (“Yamada”). It involves constructing and stacking red, blue and green LEDs of GaN-based materials on a sapphire or SiC substrate. However, red LEDs are not efficient when constructed with GaN-based material systems, and that hampers usefulness of this implementation. It is not possible to deposit defect-free AlInGaP/InGaP for red LEDs on the same substrate as GaN based blue and green LEDs, due to a mismatch in thermal expansion co-efficient between the various material systems.


Yet another RGB-LED proposal is described in “Cascade Single chip phosphor-free while light emitting diodes”, Applied Physics Letters, 2008 by X. Guo, G. Shen, et al. (“Guo”). It involves bonding GaAs based red LEDs with GaN based blue-green LEDs to produce white light. Unfortunately, this bonding process requires 600° C. temperatures, causing issues with mismatch of thermal expansion co-efficients and cracking. Another publication on this topic is “A trichromatic phosphor-free white light-emitting diode by using adhesive bonding scheme”, Proc. SPIE, Vol. 7635, 2009 by D. Chuai, X. Guo, et al. (“Chuai”). It involves bonding red LEDs with green-blue LED stacks. Bonding is done at the die level after dicing, which is more costly than a wafer-based approach.


U.S. patent application Ser. No. 12/130,824 describes various stacked RGB LED devices. It also briefly mentions a method for construction of a stacked LED where all layers of the stacked LED are transferred using lift-off with a temporary carrier and Indium Tin Oxide (ITO) to semiconductor bonding. This method has several issues for constructing a RGB LED stack. First, it is difficult to manufacture a lift-off with a temporary carrier of red LEDs for producing a RGB LED stack, especially for substrates larger than 2 inch. This is because red LEDs are typically constructed on non-transparent GaAs substrates, and lift-off with a temporary carrier is done by using an epitaxial lift-off process. Here, the thin film to be transferred typically sits atop a “release-layer” (eg. AlAs), this release layer is removed by etch procedures after the thin film is attached to a temporary substrate. Scaling this process to 4 inch wafers and bigger is difficult. Second, it is very difficult to perform the bonding of ITO to semiconductor materials of a LED layer at reasonable temperatures, as described in the patent application Ser. No. 12/130,824.


It is therefore clear that a better method for constructing RGB LEDs will be helpful. Since RGB LEDs are significantly more efficient than pcLEDs, they can be used as replacements of today's phosphor-based LEDs for many applications, provided a cheap and effective method of constructing RGB LEDs can be invented.


Background on Image-Sensors

Image sensors are used in applications such as cameras. Red, blue, and green components of the incident light are sensed and stored in digital format. CMOS image sensors typically contain a photodetector and sensing circuitry. Almost all image sensors today have both the photodetector and sensing circuitry on the same chip. Since the area consumed by the sensing circuits is high, the photodetector cannot see the entire incident light, and image capture is not as efficient.


To tackle this problem, several researchers have proposed building the photodetectors and the sensing circuitry on separate chips and stacking them on top of each other. A publication that describes this method is “Megapixel CMOS image sensor fabricated in three-dimensional integrated circuit technology”, Intl. Solid State Circuits Conference 2005 by Suntharalingam, V., Berger, R., et al. (“Suntharalingam”). These proposals use through-silicon via (TSV) technology where alignment is done in conjunction with bonding. However, pixel size is reaching the 1 μm range, and successfully processing TSVs in the 1 μm range or below is very difficult. This is due to alignment issues while bonding. For example, the International Technology Roadmap for Semiconductors (ITRS) suggests that the 2-4 um TSV pitch will be the industry standard until 2012. A 2-4 μm pitch TSV will be too big for a sub-1 μm pixel. Therefore, novel techniques of stacking photodetectors and sensing circuitry are required.


A possible solution to this problem is given in “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-depleted SOI Transistors,” IEDM, p. 1-4 (2008) by P. Coudrain et al. (“Coudrain”). In the publication, transistors are monolithically integrated on top of photodetectors. Unfortunately, transistor process temperatures reach 600° C. or more. This is not ideal for transistors (that require a higher thermal budget) and photodetectors (that may prefer a lower thermal budget).


Background on Displays

Liquid Crystal Displays (LCDs) can be classified into two types based on manufacturing technology utilized: (1) Large-size displays that are made of amorphous/polycrystalline silicon thin-film-transistors (TFTs), and (2) Microdisplays that utilize single-crystal silicon transistors. Microdisplays are typically used where very high resolution is needed, such as camera/camcorder view-finders, projectors and wearable computers.


Microdisplays are made in semiconductor fabs with 200 mm or 300 mm wafers. They are typically constructed with LCOS (Liquid-Crystal-on-Silicon) Technology and are reflective in nature. An exception to this trend of reflective microdisplays is technology from Kopin Corporation (U.S. Pat. No. 5,317,236, filed December 1991). This company utilizes transmittive displays with a lift-off layer transfer scheme. Transmittive displays may be generally preferred for various applications.


While lift-off layer transfer schemes are viable for transmittive displays, they are frequently not used for semiconductor manufacturing due to yield issues. Therefore, other layer transfer schemes will be helpful. However, it is not easy to utilize other layer transfer schemes for making transistors in microdisplays. For example, application of “smart-cut” layer transfer to attach monocrystalline silicon transistors to glass is described in “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate”, IEDM 2009 by Y. Takafuji, Y. Fukushima, K. Tomiyasu, et al. (“Takafuji”). Unfortunately, hydrogen is implanted through the gate oxide of transferred transistors in the process, and this degrades performance. Process temperatures are as high as 600° C. in this paper, and this requires costly glass substrates. Several challenges therefore need to be overcome for efficient layer transfer, and require innovation.


Background on Solar Cells

Solar cells can be constructed of several materials such as, for example, silicon and compound semiconductors. The highest efficiency solar cells are typically multi junction solar cells that are constructed of compound semiconductor materials. These multi junction solar cells are typically constructed on a germanium substrate, and semiconductors with various band-gaps are epitaxially grown atop this substrate to capture different portions of the solar spectrum.


There are a few issues with standard multi junction solar cells. Since multiple junctions are grown epitaxially above a single substrate (such as Germanium) at high temperature, materials used for different junctions are restricted to those that have lattice constants and thermal expansion co-efficients close to those of the substrate. Therefore, the choice of materials used to build junctions for multi junction solar cells is limited. As a result, most multi junction solar cells commercially available today cannot capture the full solar spectrum. Efficiency of the solar cell can be improved if a large band of the solar spectrum is captured. Furthermore, multi junction solar cells today suffer from high cost of the substrate above which multiple junctions are epitaxially grown. Methods to build multi junction solar cells that tackle both these issues will be helpful.


A method of making multi junction solar cells by mechanically bonding two solar cells, one with a Germanium junction and another with a compound semiconductor junction is described in “Towards highly efficient 4-terminal mechanical photovoltaic stacks”, III-Vs Review, Volume 19, Issue 7, September-October 2006 by Giovanni Flamand, Jef Poortmans (“Flamand”). In this work, the authors make the compound semiconductor junctions on a Germanium substrate epitaxially. They then etch away the entire Germanium substrate after bonding to the other substrate with the Germanium junction. The process uses two Germanium substrates, and is therefore expensive.


Techniques to create multi junction solar cells with layer transfer have been described in “Wafer bonding and layer transfer processes for 4-junction high efficiency solar cells,” Photovoltaic Specialists Conference, 2002. Conference Record of the Twenty-Ninth IEEE, vol., no., pp. 1039-1042, 19-24 May 2002 by Zahler, J. M.; Fontcuberta i Morral, A.; Chang-Geun Ahn; Atwater, H. A.; Wanlass, M. W.; Chu, C. and Iles, P. A. An anneal is used for ion-cut purposes, and this anneal is typically done at temperatures higher than 350-400° C. (if high bond strength is desired). When that happens, cracking and defects can be produced due to mismatch of co-efficients of thermal expansion between various layers in the stack. Furthermore, semiconductor layers are bonded together, and the quality of this bond not as good as oxide-to-oxide bonding, especially for lower process temperatures.


Background on CCD Sensors

Image sensors based on Charge-Coupled Device (CCD) technology has been around for several decades. The CCD technology relies on a collect and shift scheme, wherein charges are collected in individual cells according to the luminosity of the light falling on each of them, then the charges are sequentially shifted towards one edge of the sensor where readout circuits read the sequence of charges one at a time.


The advantage of CCD technology is it has better light sensitivity since almost the entire CCD cell area is dedicated to light collecting, and the control and readout circuits are all on one edge not blocking the light. On the other hand, in a CMOS sensor, the photodiodes in each cell have to share space with the control and readout circuits adjacent to them, and so their size and light sensitivity are therefore limited.


The main issue with CCD technology is this sequential shifting of image information from cell to cell is slow and limits the speed and cell density of CCD image sensors. A potential solution is to put the readout circuits directly under each CCD cell, so that the information is read in parallel rather than in time sequence, thus removing the shifting delay entirely.


Background on High Dynamic Range (HDR) Sensors

Ever since the advent of commercial digital photography in the 1990s, achieving High Dynamic Range (HDR) imaging has been a goal for most camera manufacturers in their image sensors. The idea is to use various techniques to compensate for the lower dynamic range of image sensors relative to the human eye. The concept of HDR however, is not new. Combining multiple exposures of a single image to achieve a wide range of luminosity was actually pioneered in the 1850s by Gustave Le Gray to render seascapes showing both the bright sky and the dark sea. This was necessary to produce realistic photographic images as the film used at that time had exptremely low dynamic range compared to the human eye.


In digital cameras, the typical approach is to capture images using exposure bracketing, and then combining them into a single HDR image. The issue with this is that multiple exposures are performed over some period of time, and if there is movement of the camera or target during the time of the exposures, the final HDR image will reflect this by loss of sharpness. Moreover, multiple images may lead to large data in storage devices. Other methods use software algorithms to extract HDR information from a single exposure, but as they can only process information that is recordable by the sensor, there is a permanent loss of some details.


Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate the performance, functionality and power consumption of ICs.


3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.


There are many techniques to construct 3D stacked integrated circuits or chips including:


Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).


Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,871,034; and pending U.S. Patent Application Publications and applications; 2017/0117291, 2017/0133395, 2017/0207214, 2017/0221761, Ser. Nos. 15/173,686, 62/539,054, 62/562,457; and PCT Applications: PCT/US2010/052093, PCT/US2011/042071, PCT/US2016/52726, PCT/US2017/052359. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.


Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031; and U.S. patent application publication 2016/0064439. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.


SUMMARY

Techniques to utilize layer transfer schemes such as ion-cut to form novel light emitting diodes (LEDs), CMOS image sensors, displays, microdisplays and solar cells are discussed.


In one aspect, a 3D micro display, the micro display comprising: a first single crystal layer comprising at least one LED driving circuit; and a second single crystal layer comprising a plurality of light emitting diodes (LEDs), wherein said second single crystal layer overlays said first single crystal layer, wherein said second single crystal layer comprises at least ten first LED pixels, and wherein said second single crystal layer and said first single crystal layer are separated by a vertical distance of less than ten microns.


In another aspect, a 3D micro display, the micro display comprising: a first single crystal layer comprising at least one LED driving circuit; a second single crystal layer comprising a plurality of light emitting diodes (LEDs), wherein said second single crystal layer overlays said first single crystal layer, wherein said second single crystal layer comprises at least ten first LED pixels, and; a third single crystal layer, wherein said third single crystal layer overlays said second single crystal layer.


In another aspect, a 3D micro display, the micro display comprising: a first single crystal layer comprising at least one LED driving circuit; a second single crystal layer comprising a first plurality of light emitting diodes (LEDs), wherein said second single crystal layer overlays said first single crystal layer, wherein said second single crystal layer comprises at least ten individual LED pixels; and a second plurality of light emitting diodes (LEDs), wherein said first plurality of light emitting diodes (LEDs) emits a first light with a first wavelength, wherein said second plurality of light emitting diodes (LEDs) emits a second light with a second wavelength, and wherein said first wavelength and said second wavelength differ by greater than 10 nm.





BRIEF DESCRIPTION OF THE DRAWINGS

Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:



FIGS. 1A-1G are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal silicon recessed channel transistors on a glass substrate;



FIGS. 2A-2I are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal silicon replacement gate transistors on a glass substrate;



FIGS. 3A-3F are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal junction-less transistors on a glass substrate;



FIGS. 4A-4D are exemplary drawn illustrations of a display constructed using sub-400° C. processed amorphous silicon or polysilicon junctionless transistors on a glass substrate;



FIGS. 5A-5C are exemplary drawn illustrations of a microdisplay constructed using stacked RGB LEDs and control circuits are connected to each pixel with solder bumps; and



FIGS. 6A-6D are exemplary drawn illustrations of a microdisplay constructed using stacked RGB LEDs and control circuits are monolithically stacked above the LED.





DETAILED DESCRIPTION

Embodiments of the present invention are now described with reference to FIGS. 1-6, it being appreciated that the figures illustrate the subject matter not to scale or to measure.


A smart layer transfer may be defined as one or more of the following processes:

    • Ion-cut, variations of which are referred to as smart-cut, nano-cleave and smart-cleave: Further information on ion-cut technology is given in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978 (2003) by G. K. Celler and S. Cristolovean (“Celler”) and also in “Mechanically induced Si layer transfer in hydrogen-implanted Si wafers,” Appl. Phys. Lett., vol. 76, pp. 2370-2372, 2000 by K. Henttinen, I. Suni, and S. S. Lau (“Hentinnen”).
    • Porous silicon approaches such as ELTRAN: These are described in “Eltran, Novel SOI Wafer Technology,” JSAP International, Number 4, July 2001 by T. Yonehara and K. Sakaguchi (“Yonehara”).
    • Bonding a substrate with single crystal layers followed by Polishing, Time-controlled etch-back or Etch-stop layer controlled etch-back to thin the bonded substrate: These are described in U.S. Pat. No. 6,806,171 by A. Ulyashin and A. Usenko (“Ulyashin”) and “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, p. 363 (2005) by A. W. Topol, D. C. La Tulipe, L. Shi, S. M. Alam, D. J. Frank, S. E. Steen, J. Vichiconti, D. Posillico, M. Cobb, S. Medd, J. Patel, S. Goma, D. DiMilia, M. T. Robson, E. Duch, M. Farinelli, C. Wang, R. A. Conti, D. M. Canaperi, L. Deligianni, A. Kumar, K. T. Kwietniak, C. D'Emic, J. Ott, A. M. Young, K. W. Guarini, and M. Ieong (“Topol”).
    • Bonding a wafer with a Gallium Nitride film epitaxially grown on a sapphire substrate followed by laser lift-off for removing the transparent sapphire substrate: This method may be suitable for deposition of Gallium Nitride thin films, and is described in U.S. Pat. No. 6,071,795 by Nathan W. Cheung, Timothy D. Sands and William S. Wong (“Cheung”).
    • Rubber stamp layer transfer: This is described in “Solar cells sliced and diced,” 19 May 2010, Nature News.


This process of constructing RGB LEDs could include several steps that occur in a sequence from Step (A) to Step (S). Many of them share common characteristics, features, modes of operation, etc. When the same reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.


NuDisplay Technology

In displays and microdisplays (small size displays where optical magnification is needed), transistors need to be formed on glass or plastic substrates. These substrates typically cannot withstand high process temperatures (e.g., >400° C.). Layer transfer can be advantageously used for constructing displays and microdisplays as well, since it may enable transistors to be processed on these substrates at <400° C. Various embodiments of transistors constructed on glass substrates are described in this patent application. These transistors constructed on glass substrates could form part of liquid crystal displays (LCDs) or other types of displays. It will be clear to those skilled in the art based on the present disclosure that these techniques can also be applied to plastic substrates.



FIGS. 1A-1G describe a process for forming recessed channel single crystal (or monocrystalline) transistors on glass substrates at a temperature approximately less than 400° C. for display and microdisplay applications. This process could include several steps that occur in a sequence from Step (A) to Step (G). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.

  • Step (A) is illustrated in FIG. 1A. A silicon wafer 2202 is taken and a n+ region 2204 is formed by ion implantation. Following this formation, a layer of p− Silicon 2206 is epitaxially grown. An oxide layer 2210 is then deposited. Following this deposition, an anneal is performed to activate dopants in various layers. It will be clear to one skilled in the art based on the present disclosure that various other procedures can be used to get the structure shown in FIG. 22A.
  • Step (B) is illustrated in FIG. 1B. Hydrogen is implanted into the structure shown in FIG. 22A at a certain depth indicated by 2212. Alternatively, Helium can be used for this purpose. Various elements in FIG. 1B, such as 2202, 2204, 2006, and 2210 have been described previously.
  • Step (C) is illustrated in FIG. 1C. A glass substrate 2214 is taken and a silicon oxide layer 2216 is deposited atop it at compatible temperatures.
  • Step (D) is illustrated in FIG. 1D. Various elements in FIG. 1D, such as 2202, 2204, 2206, 2210, 2214, and 2216 have been described previously. The structure shown in FIG. 1B is flipped and bonded to the structure shown in FIG. 1C using oxide-to-oxide bonding of layers 2210 and 2216.
  • Step (E) is illustrated in FIG. 1E. The structure shown in FIG. 1D is cleaved at the hydrogen plane 2212 of FIG. 1D. A CMP is then done to planarize the surface and yield the n+ Si layer 2218. Various other elements in FIG. 1E, such as 2214, 2216, 2210 and 2206 have been described previously.
  • Step (F) is illustrated in FIG. 1F. Various elements in FIG. 1F such as 2214, 2216, 2210, and 2206 have been described previously. An oxide layer 2220 is formed using a shallow trench isolation (STI) process. This helps isolate transistors.
  • Step (G) is illustrated in FIG. 1G. Various elements in FIG. 1G such as 2210, 2216, 2220 and 2214 have been described previously. Using etch techniques, part of the n+ Silicon layer from FIG. 1F and optionally p− Silicon layer from FIG. 1F are etched. After this a thin gate dielectric is deposited, after which a gate dielectrode is deposited. The gate dielectric and gate electrode are then polished away to form the gate dielectric layer 2224 and gate electrode layer 2222. The n+ Silicon layers 2228 and 2226 form the source and drain regions of the transistors while the p− Silicon region after this step is indicated by 2230. Contacts and other parts of the display/microdisplay are then fabricated. It can be observed that during the whole process, the glass substrate substantially always experiences temperatures less than 400° C., or even lower. This is because the crystalline silicon can be transferred atop the glass substrate at a temperature less than 400° C., and dopants are pre-activated before layer transfer to glass.



FIG. 2A-2I describes a process of forming both nMOS and pMOS transistors with single-crystal silicon on a glass substrate at temperatures less than 400° C., and even lower. Ion-cut technology (which is a smart layer transfer technology) is used. While the process flow described is shown for both nMOS and pMOS on a glass substrate, it could also be used for just constructing nMOS devices or for just constructing pMOS devices. This process could include several steps that occur in a sequence from Step (A) to Step (H). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.

  • Step (A) is illustrated in FIG. 2A. A p− Silicon wafer 2302 is taken and a n well 2304 is formed on the p− Silicon wafer 2302. Various additional implants to optimize dopant profiles can also be done. Following this formation, an isolation process is conducted to form isolation regions 2306. A dummy gate dielectric 2310 made of silicon dioxide and a dummy gate electrode 2308 made of polysilicon are constructed.
  • Step (B) is illustrated in FIG. 2B. Various elements of FIG. 2B, such as 2302, 2304, 2306, 2308 and 2310 have been described previously. Implants are done to form source-drain regions 2312 and 2314 for both nMOS and pMOS transistors. A rapid thermal anneal (RTA) is then done to activate dopants. Alternatively, a spike anneal or a laser anneal could be done.
  • Step (C) is illustrated in FIG. 2C. Various elements of FIG. 2C such as 2302, 2304, 2306, 2308, 2310, 2312 and 2314 have been described previously. An oxide layer 2316 is deposited and planarized with CMP.
  • Step (D) is illustrated in FIG. 2D. Various elements of FIG. 2D such as 2302, 2304, 2306, 2308, 2310, 2312, 2314, and 2316 have been described previously. Hydrogen is implanted into the wafer at a certain depth indicated by 2318. Alternatively, helium can be implanted.
  • Step (E) is illustrated in FIG. 2E. Various elements of FIG. 2E such as 2302, 2304, 2306, 2308, 2310, 2312, 2314, 2316, and 2318 have been described previously. Using a temporary bonding adhesive, the oxide layer is bonded to a temporary carrier wafer 2320. An example of a temporary bonding adhesive is a polyimide that can be removed by shining a laser. An example of a temporary carrier wafer is glass.
  • Step (F) is illustrated in FIG. 2F. The structure shown in FIG. 2E is cleaved at the hydrogen plane using a mechanical force. Alternatively, an anneal could be used. Following this cleave, a CMP is done to planarize the surface. An oxide layer is then deposited. FIG. 2F shows the structure after all these steps are done, with the deposited oxide layer indicated as 2328. After the cleave, the p− Silicon region is indicated as 2322, the n− Silicon region is indicated as 2324, and the oxide isolation regions are indicated as 2326. Various other elements in FIG. 23F such as 2308, 2320, 2312, 2314, 2310, and 2316 have been described previously.
  • Step (G) is illustrated in FIG. 2G. The structure shown in FIG. 2F is bonded to a glass substrate 2332 with an oxide layer 2330 using oxide-to-oxide bonding. Various elements in FIG. 2G such as 2308, 2326, 2322, 2324, 2312, 2314, and 2310 have been described previously. Oxide regions 2328 and 2330 are bonded together. The temporary carrier wafer from FIG. 2F is removed by shining a laser through it. A CMP process is then conducted to reach the surface of the gate electrode 2308. Thus, the structure may be illustrated by FIG. 2H. The oxide layer remaining is denoted as 2334.
  • Step (H) is illustrated in FIG. 2I. Various elements in FIG. 2I such as 2312, 2314, 2328, 2330, 2332, 2334, 2326, 2324, and 2322 have been described previously. The dummy gate dielectric and dummy gate electrode are etched away in this step and a replacement gate dielectric 2336 and a replacement gate electrode 2338 are deposited and planarized with CMP. Examples of replacement gate dielectrics could be hafnium oxide or aluminum oxide while examples of replacement gate electrodes could be TiN or TaN or some other material. Contact formation, metallization and other steps for building a display/microdisplay are then conducted. It can be observed that after attachment to the glass substrate, no process step requires a processing temperature above 400° C.



FIGS. 3A-3F describe an embodiment of this invention, where single-crystal Silicon junction-less transistors are constructed above glass substrates at a temperature approximately less than 400° C. An ion-cut process (which is a smart layer transfer process) is utilized for this purpose. This process could include several steps that occur in a sequence from Step (A) to Step (F). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.

  • Step (A) is illustrated in FIG. 3A. A glass substrate 2402 is taken and a layer of silicon oxide 2404 is deposited on the glass substrate 2402.
  • Step (B) is illustrated in FIG. 3B. A p− Silicon wafer 2406 is implanted with a n+ Silicon layer 2408 above which an oxide layer 2410 is deposited. A RTA or spike anneal or laser anneal is conducted to activate dopants. Following this, hydrogen is implanted into the wafer at a certain depth indicated by 2412. Alternatively, helium can be implanted.
  • Step (C) is illustrated in FIG. 3C. The structure shown in FIG. 3B is flipped and bonded onto the structure shown in FIG. 3A using oxide-to-oxide bonding. This bonded structure is cleaved at its hydrogen plane, after which a CMP is done. FIG. 3C shows the structure after all these processes are completed. 2414 indicates the n+ Si layer, while 2402, 2404, and 2410 have been described previously.
  • Step (D) is illustrated in FIG. 3D. A lithography and etch process is conducted to pattern the n+ Silicon layer 2414 in FIG. 3C to form n+ Silicon regions 2418 in FIG. 3D. The glass substrate is indicated as 2402 and the bonded oxide layers 2404 and 2410 are shown as well.
  • Step (E) is illustrated in FIG. 3E. A gate dielectric 2420 and gate electrode 2422 are deposited, following which a CMP is done. 2402 is as described previously. The n+ Si regions 2418 are not visible in this figure, since they are covered by the gate electrode 2422. Oxide regions 2404 and 2410 have been described previously.
  • Step (F) is illustrated in FIG. 3F. The gate dielectric 2420 and gate electrode 2422 from FIG. 3E are patterned and etched to form the structure shown in FIG. 3F. The gate dielectric after the etch process is indicated as 2424 while the gate electrode after the etch process is indicated as 2426. n+Si regions are indicated as 2418 while the glass substrate is indicated as 2402. Oxide regions 2404 and 2410 have been described previously. It can be observed that a three-side gated junction-less transistor is formed at the end of the process described with respect of FIGS. 3A-3F. Contacts, metallization and other steps for constructing a display/microdisplay are performed after the steps indicated by FIGS. 3A-3F. It can be seen that the glass substrate is not exposed to temperatures greater than approximately 400° C. during any step of the above process for forming the junction-less transistor.



FIGS. 4A-D describe an embodiment of this invention, where amorphous Si or polysilicon junction-less transistors are constructed above glass substrates at a temperature less than 400° C. This process could include several steps that occur in a sequence from Step (A) to Step (D). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.

  • Step (A) is illustrated in FIG. 4A. A glass substrate 2502 is taken and a layer of silicon oxide 2504 is deposited on the glass substrate 2502. Following this deposition, a layer of n+ Si 2506 is deposited using low-pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). This layer of n+ Si could optionally be hydrogenated.
  • Step (B) is illustrated in FIG. 4B. A lithography and etch process is conducted to pattern the n+ Silicon layer 2506 in FIG. 4A to form n+ Silicon regions 2518 in FIG. 4B. 2502 and 2504 have been described previously.
  • Step (C) is illustrated in FIG. 4C. A gate dielectric 2520 and gate electrode 2522 are deposited, following which a CMP is optionally done. 2502 is as described previously. The n+ Si regions 2518 are not visible in this figure, since they are covered by the gate electrode 2522.
  • Step (D) is illustrated in FIG. 4D. The gate dielectric 2520 and gate electrode 2522 from FIG. 4C are patterned and etched to form the structure shown in FIG. 4D. The gate dielectric after the etch process is indicated as 2524 while the gate electrode after the etch process is indicated as 2526. n+ Si regions are indicated as 2518 while the glass substrate is indicated as 2502. It can be observed that a three-side gated junction-less transistor is formed at the end of the process described with respect of FIGS. 4A-4D. Contacts, metallization and other steps for constructing a display/microdisplay are performed after the steps indicated by FIGS. 4A-4D. It can be seen that the glass substrate is not exposed to temperatures greater than 400° C. during any step of the above process for forming the junction-less transistor.



FIGS. 5A-5C illustrate an embodiment of this invention, where a microdisplay is constructed using stacked RGB LEDs and control circuits are connected to each pixel with solder bumps. This process could include several steps that occur in a sequence from Step (A) to Step (C). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.

  • Step (A) is illustrated in FIG. 5A. Using procedures similar to FIG. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, the structure shown in FIG. 5A is constructed. Various elements of FIG. 5A are as follows:
  • 2646—a glass substrate,
  • 2644—an oxide layer, could be a conductive oxide such as ITO,
  • 2634—an oxide layer, could be a conductive oxide such as ITO
  • 2633—a an optional reflector, could be a Distributed Bragg Reflector or some other type of reflector,
  • 2632—a P-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN),
  • 2630—a buffer layer that is typically used for a Blue LED (One example of a material for this region is AlGaN),
  • 2628—a multiple quantum well used for a Blue LED (One example of materials for this region are InGaN/GaN),
  • 2627—a N-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN).
  • 2648—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2622—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2621—an optional reflector (for example, a Distributed Bragg Reflector),
  • 2620—a P-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
  • 2618—a buffer layer that is typically used for a Green LED (One example of a material for this region is AlGaN),
  • 2616—a multiple quantum well used for a Green LED (One example of materials for this region are InGaN/GaN),
  • 2615—a N-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
  • 2652—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2610—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2609—an optional reflector (for example, a Distributed Bragg Reflector),
  • 2608—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
  • 2606—a multiple quantum well used for a Red LED (One example of materials for this region are AlInGaP/GaInP),
  • 2604—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
  • 2656—an oxide layer, may be preferably a transparent conductive metal oxide such as ITO, and
  • 2658—a reflector (for example, aluminum or silver).
  • Step (B) is illustrated in FIG. 5B. Via holes 2662 are etched to the substrate layer 2646 to isolate different pixels in the microdisplay/display. Also, via holes 2660 are etched to make contacts to various layers of the stack. These via holes may be preferably not filled. An alternative is to fill the via holes with a compatible oxide and planarize the surface with CMP. Various elements in FIG. 5B such as 2646, 2644, 2634, 2633, 2632, 2630, 2628, 2627, 2648, 2622, 2621, 2620, 2618, 2616, 2615, 2652, 2610, 2609, 2608, 2606, 2604, 2656 and 2658 have been described previously.
  • Step (C) is illustrated in FIG. 5C. Using procedures similar to those described in respect to FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, the via holes 2660 have contacts 2664 (for example, with Aluminum) made to them. Also, using procedures similar to those described in FIGS. 4A-4S, nickel layers 2666, solder layers 2668, and a silicon sub-mount 2670 with circuits integrated on them are constructed. The silicon sub-mount 2670 has transistors to control each pixel in the microdisplay/display. Various elements in FIG. 5C such as 2646, 2644, 2634, 2633, 2632, 2630, 2628, 2627, 2648, 2622, 2621, 2620, 2618, 2616, 2615, 2652, 2610, 2609, 2608, 2606, 2604, 2656, 2660, 2662, and 2658 have been described previously.


It can be seen that the structure shown in FIG. 5C can have each pixel emit a certain color of light by tuning the voltage given to the red, green and blue layers within each pixel. This microdisplay may be constructed using the ion-cut technology, a smart layer transfer technique.



FIGS. 6A-6D illustrate an embodiment of this invention, where a microdisplay is constructed using stacked RGB LEDs and control circuits are integrated with the RGB LED stack. This process could include several steps that occur in a sequence from Step (A) to Step (D). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.

  • Step (A) is illustrated in FIG. 6A. Using procedures similar to those illustrated in FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, the structure shown in FIG. 6A is constructed. Various elements of FIG. 6A are as follows:
  • 2746—a glass substrate,
  • 2744—an oxide layer, could be a conductive oxide such as ITO,
  • 2734—an oxide layer, could be a conductive oxide such as ITO,
  • 2733—a an optional reflector (e.g., a Distributed Bragg Reflector or some other type of reflector),
  • 2732—a P-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN),
  • 2730—a buffer layer that is typically used for a Blue LED (One example of a material for this region is AlGaN),
  • 2728—a multiple quantum well used for a Blue LED (One example of materials for this region are InGaN/GaN),
  • 2727—a N-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN),
  • 2748—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2722—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2721—an optional reflector (e.g., a Distributed Bragg Reflector),
  • 2720—a P-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
  • 2718—a buffer layer that is typically used for a Green LED (One example of a material for this region is AlGaN),
  • 2716—a multiple quantum well used for a Green LED (One example of materials for this region are InGaN/GaN),
  • 2715—a N-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
  • 2752—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2710—an oxide layer, may be preferably a conductive metal oxide such as ITO,
  • 2709—an optional reflector (e.g., a Distributed Bragg Reflector),
  • 2708—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
  • 2706—a multiple quantum well used for a Red LED (One example of materials for this region are AlInGaP/GaInP),
  • 2704—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
  • 2756—an oxide layer, may be preferably a transparent conductive metal oxide such as ITO,
  • 2758—a reflector (e.g., aluminum or silver).
  • Step (B) is illustrated in FIG. 6B. Via holes 2762 are etched to the substrate layer 2746 to isolate different pixels in the microdisplay/display. Also, via holes 2760 are etched to make contacts to various layers of the stack. These via holes may be preferably filled with a compatible oxide and the surface can be planarized with CMP. Various elements of FIG. 6B such as 2746, 2744, 2734, 2733, 2732, 2730, 2728, 2727, 2748, 2722, 2721, 2720, 2718, 2716, 2715, 2752, 2710, 2709, 2708, 2706, 2704, 2756 and 2758 have been described previously.
  • Step (C) is illustrated in FIG. 6C. Metal 2764 (for example) is constructed within the via holes 2760 using procedures similar to those described in respect to FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference. Following this construction, an oxide layer 2766 is deposited. Various elements of FIG. 6C such as 2746, 2744, 2734, 2733, 2732, 2730, 2728, 2727, 2748, 2722, 2721, 2720, 2718, 2716, 2715, 2752, 2710, 2709, 2708, 2706, 2704, 2756, 2760, 2762 and 2758 have been described previously.
  • Step (D) is illustrated in FIG. 6D. Using procedures described in co-pending U.S. patent application Ser. No. 12/901,890, issued as U.S. Pat. No. 8,026,521, the entire contents of which is incorporated herein by reference, a single crystal silicon transistor layer 2768 can be monolithically integrated using ion-cut technology atop the structure shown in FIG. 6C. This transistor layer 2768 is connected to various contacts of the stacked LED layers (not shown in the figure for simplicity). Following this connection, nickel layer 2770 is constructed and solder layer 2772 is constructed. The packaging process then is conducted where the structure shown in FIG. 6D is connected to a silicon sub-mount. It can be seen that the structure shown in FIG. 6D can have each pixel emit a certain color of light by tuning the voltage given to the red, green and blue layers within each pixel. This microdisplay is constructed using the ion-cut technology, a smart layer transfer technique.


The embodiments of this invention described in FIGS. 5-6 may enable novel implementations of “smart-lighting concepts” (also known as visible light communications) that are described in “Switching LEDs on and off to enlighten wireless communications”, EETimes, June 2010 by R. Colin Johnson. For these prior art smart lighting concepts, LED lights could be turned on and off faster than the eye can react, so signaling or communication of information with these LED lights is possible. An embodiment of this invention involves designing the displays/microdisplays described in FIGS. 5-6 to transmit information, by modulating wavelength of each pixel and frequency of switching each pixel on or off. One could thus transmit a high bandwidth through the visible light communication link compared to a LED, since each pixel could emit its own information stream, compared to just one information stream for a standard LED. The stacked RGB LED embodiment described in FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, could also provide an improved smart-light than prior art since it allows wavelength tunability besides the ability to turn the LED on and off faster than the eye can react.


Several material systems have been illustrated as examples for various embodiments of this invention in this patent application. It will be clear to one skilled in the art based on the present disclosure that various other material systems and configurations can also be used without violating the concepts described. It will also be appreciated by persons of ordinary skill in the art that the present invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described herein above as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims
  • 1. A 3D micro display, the 3D micro display comprising: a first single crystal layer comprising at least one LED driving circuit;a second single crystal layer comprising a first plurality of light emitting diodes (LEDs), wherein said second single crystal layer overlays said first single crystal layer,wherein said second single crystal layer comprises at least ten individual first LED pixels; anda second plurality of light emitting diodes (LEDs), wherein said second single crystal layer and said first single crystal layer are separated by a vertical distance of less than ten microns.
  • 2. The 3D micro display according to claim 1, wherein a plurality of said first LED pixels are individually driven by said driving circuit.
  • 3. The 3D micro display according to claim 1, further comprising: a top surface of said first single crystal layer; anda bottom surface of said second single crystal layer, wherein said vertical distance is the distance from said top surface of said first single crystal layer to said bottom surface of said second single crystal layer.
  • 4. The 3D micro display according to claim 1, further comprising: a third single crystal layer, wherein said third single crystal layer overlays said second single crystal layer.
  • 5. The 3D micro display according to claim 1, wherein said first plurality of light emitting diodes (LEDs) emits a first light with a first wavelength,wherein said second plurality of light emitting diodes (LEDs) emits a second light with a second wavelength, andwherein said first wavelength and said second wavelength differ by more than 10 nm.
  • 6. The 3D micro display according to claim 1, further comprising: a third single crystal layer, wherein said third single crystal layer comprises at least ten second LED pixels.
  • 7. A 3D micro display, the 3D micro display comprising: a first single crystal layer comprising at least one LED driving circuit;a second single crystal layer comprising a first plurality of light emitting diodes (LEDs), wherein said second single crystal layer overlays said first single crystal layer,wherein said second single crystal layer comprises at least ten individual first LED pixels;a second plurality of light emitting diodes (LEDs); anda third single crystal layer, wherein said third single crystal layer overlays said second single crystal layer.
  • 8. The 3D micro display according to claim 7, wherein a plurality of said first LED pixels are individually driven by said driving circuit.
  • 9. The 3D micro display according to claim 7, wherein said second single crystal layer and said first single crystal layer are separated by a vertical distance of less than ten microns.
  • 10. The 3D micro display according to claim 7, a top surface of said first single crystal layer; anda bottom surface of said second single crystal layer, wherein a vertical distance is a distance from said top surface of said first single crystal layer to said bottom surface of said second single crystal layer, andwherein said vertical distance is less than ten microns.
  • 11. The 3D micro display according to claim 7, wherein said first plurality of light emitting diodes (LEDs) emits a first light with a first wavelength,wherein said second plurality of light emitting diodes (LEDs) emits a second light with a second wavelength, andwherein said first wavelength and said second wavelength differ by greater than 10 nm.
  • 12. The 3D micro display according to claim 7, wherein said third single crystal layer comprises at least ten individual second LED pixels.
  • 13. The 3D micro display according to claim 7, further comprising: a fourth single crystal layer, wherein said fourth single crystal layer overlays said third single crystal layer.
  • 14. A 3D micro display, the 3D micro display comprising: a first single crystal layer comprising at least one LED driving circuit;a second single crystal layer comprising a first plurality of light emitting diodes (LEDs), wherein said second single crystal layer overlays said first single crystal layer,wherein said second single crystal layer comprises at least ten individual first LED pixels; anda second plurality of light emitting diodes (LEDs), wherein said first plurality of light emitting diodes (LEDs) emits a first light with a first wavelength,wherein said second plurality of light emitting diodes (LEDs) emits a second light with a second wavelength, andwherein said first wavelength and said second wavelength differ by greater than 10 nm.
  • 15. The 3D micro display according to claim 14, wherein a plurality of said first LED pixels are individually driven by said driving circuit.
  • 16. The 3D micro display according to claim 14, wherein said second single crystal layer and said first single crystal layer are separated by a vertical distance of less than ten microns.
  • 17. The 3D micro display according to claim 14, further comprising: a top surface of said first single crystal layer; anda bottom surface of said second single crystal layer, wherein a vertical distance is a distance from said top surface of said first single crystal layer to said bottom surface of said second single crystal layer, andwherein said vertical distance is less than ten microns.
  • 18. The 3D micro display according to claim 14, further comprising: a third plurality of light emitting diodes (LEDs), wherein said third plurality of light emitting diodes (LED) emits a third light with a third wavelength, andwherein said first wavelength and said second wavelength and said third wavelength differ by greater than 10 nm from each other.
  • 19. The 3D micro display according to claim 14, further comprising: a third single crystal layer, wherein said third single crystal layer overlays said second single crystal layer, andwherein said third single crystal layer comprises at least ten second LED pixels.
  • 20. The 3D micro display according to claim 14, further comprising: a third single crystal layer, wherein said third single crystal layer overlays said second single crystal layer, andwherein said third single crystal layer comprises at least ten second LED pixels; anda fourth single crystal layer, wherein said fourth single crystal layer overlays said third single crystal layer.
CROSS-REFERENCE OF RELATED APPLICATION

This application is a continuation in part of U.S. patent application Ser. No. 14/936,657 filed on Nov. 9, 2015, which is a continuation in part of U.S. patent application Ser. No. 13/274,161 filed on Oct. 14, 2011, now U.S. Pat. No. 9,197,804 issued on Nov. 24, 2015; and this application is a continuation in part of U.S. patent application Ser. No. 12/904,103 filed on Oct. 13, 2011, now U.S. Pat. No. 8,163,581 issued on Apr. 24, 2012; the entire contents of all of the preceding are incorporated herein by reference.

US Referenced Citations (900)
Number Name Date Kind
3007090 Rutz Oct 1961 A
3819959 Chang et al. Jun 1974 A
4009483 Clark Feb 1977 A
4197555 Uehara et al. Apr 1980 A
4213139 Rao et al. Jul 1980 A
4400715 Barbee et al. Aug 1983 A
4487635 Kugimiya et al. Dec 1984 A
4510670 Schwabe Apr 1985 A
4522657 Rohatgi et al. Jun 1985 A
4612083 Yasumoto et al. Sep 1986 A
4643950 Ogura et al. Feb 1987 A
4704785 Curran Nov 1987 A
4711858 Harder et al. Dec 1987 A
4721885 Brodie Jan 1988 A
4732312 Kennedy et al. Mar 1988 A
4733288 Sato Mar 1988 A
4829018 Wahlstrom May 1989 A
4854986 Raby Aug 1989 A
4866304 Yu Sep 1989 A
4939568 Kato et al. Jul 1990 A
4956307 Pollack et al. Sep 1990 A
5012153 Atkinson et al. Apr 1991 A
5032007 Silverstein et al. Jul 1991 A
5047979 Leung Sep 1991 A
5087585 Hayashi Feb 1992 A
5093704 Sato et al. Mar 1992 A
5106775 Kaga et al. Apr 1992 A
5152857 Ito et al. Oct 1992 A
5162879 Gill Nov 1992 A
5189500 Kusunoki Feb 1993 A
5217916 Anderson et al. Jun 1993 A
5250460 Yamagata et al. Oct 1993 A
5258643 Cohen Nov 1993 A
5265047 Leung et al. Nov 1993 A
5266511 Takao Nov 1993 A
5277748 Sakaguchi et al. Jan 1994 A
5286670 Kang et al. Feb 1994 A
5294556 Kawamura Mar 1994 A
5308782 Mazure et al. May 1994 A
5312771 Yonehara May 1994 A
5317236 Zavracky et al. May 1994 A
5324980 Kusunoki Jun 1994 A
5355022 Sugahara et al. Oct 1994 A
5371037 Yonehara Dec 1994 A
5374564 Bruel Dec 1994 A
5374581 Ichikawa et al. Dec 1994 A
5424560 Norman et al. Jun 1995 A
5475280 Jones et al. Dec 1995 A
5478762 Chao Dec 1995 A
5485031 Zhang et al. Jan 1996 A
5498978 Takahashi et al. Mar 1996 A
5527423 Neville et al. Jun 1996 A
5535342 Taylor Jul 1996 A
5554870 Fitch et al. Sep 1996 A
5563084 Ramm et al. Oct 1996 A
5583349 Norman et al. Dec 1996 A
5583350 Norman et al. Dec 1996 A
5586291 Lasker Dec 1996 A
5594563 Larson Jan 1997 A
5604137 Yamazaki et al. Feb 1997 A
5617991 Pramanick et al. Apr 1997 A
5627106 Hsu May 1997 A
5656548 Zavracky et al. Aug 1997 A
5656553 Leas et al. Aug 1997 A
5659194 Iwamatsu Aug 1997 A
5670411 Yonehara Sep 1997 A
5681756 Norman et al. Oct 1997 A
5695557 Yamagata et al. Dec 1997 A
5701027 Gordon et al. Dec 1997 A
5707745 Forrest et al. Jan 1998 A
5714395 Bruel Feb 1998 A
5721160 Forrest et al. Feb 1998 A
5737748 Shigeeda Apr 1998 A
5739552 Kimura et al. Apr 1998 A
5744979 Goetting Apr 1998 A
5748161 Lebby et al. May 1998 A
5757026 Forrest et al. May 1998 A
5770483 Kadosh Jun 1998 A
5770881 Pelella et al. Jun 1998 A
5781031 Bertin et al. Jul 1998 A
5817574 Gardner Oct 1998 A
5829026 Leung et al. Oct 1998 A
5835396 Zhang Nov 1998 A
5854123 Sato et al. Dec 1998 A
5861929 Spitzer Jan 1999 A
5877034 Ramm Mar 1999 A
5877070 Goesele et al. Mar 1999 A
5882987 Srikrishnan Mar 1999 A
5883525 Tavana et al. Mar 1999 A
5889903 Rao Mar 1999 A
5893721 Huang et al. Apr 1999 A
5915167 Leedy Jun 1999 A
5920788 Reinberg Jul 1999 A
5937312 Iyer et al. Aug 1999 A
5943574 Tehrani et al. Aug 1999 A
5952680 Strite Sep 1999 A
5952681 Chen Sep 1999 A
5965875 Merrill Oct 1999 A
5977579 Noble Nov 1999 A
5977961 Rindal Nov 1999 A
5980633 Yamagata et al. Nov 1999 A
5985742 Henley et al. Nov 1999 A
5994746 Reisinger Nov 1999 A
5998808 Matsushita Dec 1999 A
6001693 Yeouchung et al. Dec 1999 A
6009496 Tsai Dec 1999 A
6020252 Aspar et al. Feb 2000 A
6020263 Shih et al. Feb 2000 A
6027958 Vu et al. Feb 2000 A
6030700 Forrest et al. Feb 2000 A
6052498 Paniccia Apr 2000 A
6054370 Doyle Apr 2000 A
6057212 Chan et al. May 2000 A
6071795 Cheung et al. Jun 2000 A
6075268 Gardner et al. Jun 2000 A
6103597 Aspar et al. Aug 2000 A
6111260 Dawson et al. Aug 2000 A
6125217 Paniccia et al. Sep 2000 A
6153495 Kub et al. Nov 2000 A
6191007 Matsui et al. Feb 2001 B1
6200878 Yamagata Mar 2001 B1
6222203 Ishibashi et al. Apr 2001 B1
6226197 Nishimura May 2001 B1
6229161 Nemati et al. May 2001 B1
6242324 Kub et al. Jun 2001 B1
6242778 Marmillion et al. Jun 2001 B1
6252465 Katoh Jun 2001 B1
6259623 Takahashi Jul 2001 B1
6261935 See et al. Jul 2001 B1
6264805 Forrest et al. Jul 2001 B1
6281102 Cao et al. Aug 2001 B1
6294018 Hamm et al. Sep 2001 B1
6306705 Parekh et al. Oct 2001 B1
6321134 Henley et al. Nov 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6331468 Aronowitz et al. Dec 2001 B1
6331790 Or-Bach et al. Dec 2001 B1
6331943 Naji et al. Dec 2001 B1
6353492 McClelland et al. Mar 2002 B2
6355501 Fung et al. Mar 2002 B1
6355976 Faris Mar 2002 B1
6358631 Forrest et al. Mar 2002 B1
6365270 Forrest et al. Apr 2002 B2
6376337 Wang et al. Apr 2002 B1
6377504 Hilbert Apr 2002 B1
6380046 Yamazaki Apr 2002 B1
6392253 Saxena May 2002 B1
6404043 Isaak Jun 2002 B1
6417108 Akino et al. Jul 2002 B1
6420215 Knall et al. Jul 2002 B1
6423614 Doyle Jul 2002 B1
6429481 Mo et al. Aug 2002 B1
6429484 Yu Aug 2002 B1
6430734 Zahar Aug 2002 B1
6448615 Forbes Sep 2002 B1
6475869 Yu Nov 2002 B1
6476493 Or-Bach et al. Nov 2002 B2
6479821 Hawryluk et al. Nov 2002 B1
6483707 Freuler et al. Nov 2002 B1
6507115 Hofstee Jan 2003 B1
6515334 Yamazaki et al. Feb 2003 B2
6515511 Sugibayashi et al. Feb 2003 B2
6526559 Schiefele et al. Feb 2003 B2
6528391 Henley et al. Mar 2003 B1
6534352 Kim Mar 2003 B1
6534382 Sakaguchi et al. Mar 2003 B1
6544837 Divakauni et al. Apr 2003 B1
6545314 Forbes et al. Apr 2003 B2
6555901 Yoshihara et al. Apr 2003 B1
6563139 Hen May 2003 B2
6580124 Cleeves Jun 2003 B1
6580289 Cox Jun 2003 B2
6600173 Tiwari Jul 2003 B2
6617694 Kodaira et al. Sep 2003 B2
6620659 Emmma et al. Sep 2003 B2
6624046 Zavracky et al. Sep 2003 B1
6627518 Inoue et al. Sep 2003 B1
6627985 Huppenthal et al. Sep 2003 B2
6630713 Geusic Oct 2003 B2
6635552 Gonzalez Oct 2003 B1
6635588 Hawryluk et al. Oct 2003 B1
6638834 Gonzalez Oct 2003 B2
6642744 Or-Bach et al. Nov 2003 B2
6653209 Yamagata Nov 2003 B1
6653712 Knall et al. Nov 2003 B2
6661085 Kellar et al. Dec 2003 B2
6677204 Cleeves et al. Jan 2004 B2
6686253 Or-Bach Feb 2004 B2
6689660 Noble Feb 2004 B1
6701071 Wada et al. Mar 2004 B2
6703328 Tanaka et al. Mar 2004 B2
6756633 Wang et al. Jun 2004 B2
6756811 Or-Bach Jun 2004 B2
6759282 Campbell et al. Jul 2004 B2
6762076 Kim et al. Jul 2004 B2
6774010 Chu et al. Aug 2004 B2
6805979 Ogura et al. Oct 2004 B2
6806171 Ulyashin et al. Oct 2004 B1
6809009 Aspar et al. Oct 2004 B2
6815781 Vyvoda et al. Nov 2004 B2
6819136 Or-Bach Nov 2004 B2
6821826 Chan et al. Nov 2004 B1
6841813 Walker et al. Jan 2005 B2
6844243 Gonzalez Jan 2005 B1
6864534 Ipposhi et al. Mar 2005 B2
6875671 Faris Apr 2005 B2
6882572 Wang et al. Apr 2005 B2
6888375 Feng et al. May 2005 B2
6917219 New Jul 2005 B2
6927431 Gonzalez Aug 2005 B2
6930511 Or-Bach Aug 2005 B2
6943067 Greenlaw Sep 2005 B2
6943407 Ouyang et al. Sep 2005 B2
6949421 Padmanabhan et al. Sep 2005 B1
6953956 Or-Bach et al. Oct 2005 B2
6967149 Meyer et al. Nov 2005 B2
6985012 Or-Bach Jan 2006 B2
6989687 Or-Bach Jan 2006 B2
6995430 Langdo et al. Feb 2006 B2
6995456 Nowak Feb 2006 B2
7015719 Feng et al. Mar 2006 B1
7016569 Mule et al. Mar 2006 B2
7018875 Madurawe Mar 2006 B2
7019557 Madurawe Mar 2006 B2
7043106 West et al. May 2006 B2
7052941 Lee May 2006 B2
7064579 Madurawe Jun 2006 B2
7067396 Aspar et al. Jun 2006 B2
7067909 Reif et al. Jun 2006 B2
7068070 Or-Bach Jun 2006 B2
7068072 New et al. Jun 2006 B2
7078739 Nemati et al. Jul 2006 B1
7094667 Bower Aug 2006 B1
7098691 Or-Bach et al. Aug 2006 B2
7105390 Brask et al. Sep 2006 B2
7105871 Or-Bach et al. Sep 2006 B2
7109092 Tong Sep 2006 B2
7110629 Bjorkman et al. Sep 2006 B2
7111149 Eilert Sep 2006 B2
7112815 Prall Sep 2006 B2
7115945 Lee et al. Oct 2006 B2
7115966 Ido et al. Oct 2006 B2
7141853 Campbell et al. Nov 2006 B2
7148119 Sakaguchi et al. Dec 2006 B1
7157787 Kim et al. Jan 2007 B2
7157937 Apostol et al. Jan 2007 B2
7166520 Henley Jan 2007 B1
7170807 Fazan et al. Jan 2007 B2
7173369 Forrest et al. Feb 2007 B2
7180091 Yamazaki et al. Feb 2007 B2
7180379 Hopper et al. Feb 2007 B1
7183611 Bhattacharyya Feb 2007 B2
7189489 Kunimoto et al. Mar 2007 B2
7205204 Ogawa et al. Apr 2007 B2
7209384 Kim Apr 2007 B1
7217636 Atanackovic May 2007 B1
7223612 Sarma May 2007 B2
7242012 Leedy Jul 2007 B2
7245002 Akino et al. Jul 2007 B2
7256104 Ito et al. Aug 2007 B2
7259091 Schuehrer et al. Aug 2007 B2
7265421 Madurawe Sep 2007 B2
7271420 Cao Sep 2007 B2
7274207 Sugawara et al. Sep 2007 B2
7282951 Huppenthal et al. Oct 2007 B2
7284226 Kondapalli Oct 2007 B1
7296201 Abramovici Nov 2007 B2
7304355 Zhang Dec 2007 B2
7312109 Madurawe Dec 2007 B2
7312487 Alam et al. Dec 2007 B2
7314788 Shaw Jan 2008 B2
7335573 Takayama et al. Feb 2008 B2
7337425 Kirk Feb 2008 B2
7338884 Shimoto et al. Mar 2008 B2
7342415 Teig et al. Mar 2008 B2
7351644 Henley Apr 2008 B2
7358601 Plants et al. Apr 2008 B1
7362133 Madurawe Apr 2008 B2
7369435 Forbes May 2008 B2
7371660 Henley et al. May 2008 B2
7378702 Lee May 2008 B2
7381989 Kim Jun 2008 B2
7385283 Wu Jun 2008 B2
7393722 Issaq et al. Jul 2008 B1
7402483 Yu et al. Jul 2008 B2
7402897 Leedy Jul 2008 B2
7419844 Lee et al. Sep 2008 B2
7432185 Kim Oct 2008 B2
7436027 Ogawa et al. Oct 2008 B2
7439773 Or-Bach et al. Oct 2008 B2
7446563 Madurawe Nov 2008 B2
7459752 Doris et al. Dec 2008 B2
7459763 Issaq et al. Dec 2008 B1
7459772 Speers Dec 2008 B2
7463062 Or-Bach et al. Dec 2008 B2
7463502 Stipe Dec 2008 B2
7470142 Lee Dec 2008 B2
7470598 Lee Dec 2008 B2
7476939 Okhonin et al. Jan 2009 B2
7477540 Okhonin et al. Jan 2009 B2
7485968 Enquist et al. Feb 2009 B2
7486563 Waller et al. Feb 2009 B2
7488980 Takafuji et al. Feb 2009 B2
7492632 Carman Feb 2009 B2
7495473 McCollum et al. Feb 2009 B2
7498675 Farnworth et al. Mar 2009 B2
7499352 Singh Mar 2009 B2
7499358 Bauser Mar 2009 B2
7508034 Takafuji et al. Mar 2009 B2
7514748 Fazan et al. Apr 2009 B2
7521806 Trezza Apr 2009 B2
7525186 Kim et al. Apr 2009 B2
7535089 Fitzgerald May 2009 B2
7541616 Fazan et al. Jun 2009 B2
7547589 Iriguchi Jun 2009 B2
7553745 Lim Jun 2009 B2
7557367 Rogers et al. Jul 2009 B2
7558141 Katsumata et al. Jul 2009 B2
7563659 Kwon et al. Jul 2009 B2
7566855 Olsen et al. Jul 2009 B2
7566974 Konevecki Jul 2009 B2
7586778 Ho et al. Sep 2009 B2
7589375 Jang et al. Sep 2009 B2
7608848 Ho et al. Oct 2009 B2
7612411 Walker Nov 2009 B2
7622367 Nuzzo et al. Nov 2009 B1
7632738 Lee Dec 2009 B2
7633162 Lee Dec 2009 B2
7666723 Frank et al. Feb 2010 B2
7671371 Lee Mar 2010 B2
7671460 Lauxtermann et al. Mar 2010 B2
7674687 Henley Mar 2010 B2
7687372 Jain Mar 2010 B2
7687872 Cazaux Mar 2010 B2
7688619 Lung et al. Mar 2010 B2
7692202 Bensch Apr 2010 B2
7692448 Solomon Apr 2010 B2
7692944 Bernstein et al. Apr 2010 B2
7697316 Lai et al. Apr 2010 B2
7709932 Nemoto et al. May 2010 B2
7718508 Lee May 2010 B2
7719876 Chevallier May 2010 B2
7723207 Alam et al. May 2010 B2
7728326 Yamazaki et al. Jun 2010 B2
7732301 Pinnington et al. Jun 2010 B1
7741673 Tak et al. Jun 2010 B2
7742331 Watanabe Jun 2010 B2
7745250 Han Jun 2010 B2
7749884 Mathew et al. Jul 2010 B2
7750669 Spangaro Jul 2010 B2
7755622 Yvon Jul 2010 B2
7759043 Tanabe et al. Jul 2010 B2
7768115 Lee et al. Aug 2010 B2
7772039 Kerber Aug 2010 B2
7772096 DeSouza et al. Aug 2010 B2
7774735 Sood Aug 2010 B1
7776715 Wells et al. Aug 2010 B2
7777330 Pelley et al. Aug 2010 B2
7786460 Lung et al. Aug 2010 B2
7786535 Abou-Khalil et al. Aug 2010 B2
7790524 Abadeer et al. Sep 2010 B2
7795619 Hara Sep 2010 B2
7799675 Lee Sep 2010 B2
7800099 Yamazaki et al. Sep 2010 B2
7800148 Lee et al. Sep 2010 B2
7800163 Izumi et al. Sep 2010 B2
7800199 Oh et al. Sep 2010 B2
7816721 Yamazaki Oct 2010 B2
7843718 Koh et al. Nov 2010 B2
7846814 Lee Dec 2010 B2
7863095 Sasaki et al. Jan 2011 B2
7864568 Fujisaki et al. Jan 2011 B2
7867822 Lee Jan 2011 B2
7888764 Lee Feb 2011 B2
7910432 Tanaka et al. Mar 2011 B2
7915164 Konevecki et al. Mar 2011 B2
7919845 Karp Apr 2011 B2
7965102 Bauer et al. Jun 2011 B1
7968965 Kim Jun 2011 B2
7969193 Wu et al. Jun 2011 B1
7973314 Yang Jul 2011 B2
7982250 Yamazaki et al. Jul 2011 B2
8008732 Kiyotoshi Aug 2011 B2
8013399 Thomas et al. Sep 2011 B2
8014166 Yazdani Sep 2011 B2
8014195 Okhonin et al. Sep 2011 B2
8022493 Bang Sep 2011 B2
8030780 Kirby et al. Oct 2011 B2
8031544 Kim et al. Oct 2011 B2
8032857 McIlrath Oct 2011 B2
8044448 Kamigaichi et al. Oct 2011 B2
8044464 Yamazaki et al. Oct 2011 B2
8068364 Maejima Nov 2011 B2
8106520 Keeth et al. Jan 2012 B2
8107276 Breitwisch et al. Jan 2012 B2
8129256 Farooq et al. Mar 2012 B2
8129258 Hosier et al. Mar 2012 B2
8130547 Widjaja et al. Mar 2012 B2
8136071 Solomon Mar 2012 B2
8138502 Nakamura et al. Mar 2012 B2
8153520 Chandrashekar Apr 2012 B1
8158515 Farooq et al. Apr 2012 B2
8178919 Fujiwara et al. May 2012 B2
8183630 Batude et al. May 2012 B2
8184463 Saen et al. May 2012 B2
8185685 Selinger May 2012 B2
8203187 Lung et al. Jun 2012 B2
8208279 Lue Jun 2012 B2
8209649 McIlrath Jun 2012 B2
8228684 Losavio et al. Jul 2012 B2
8266560 McIlrath Aug 2012 B2
8264065 Su et al. Sep 2012 B2
8288816 Komori et al. Oct 2012 B2
8294199 Yahashi et al. Oct 2012 B2
8324680 Izumi et al. Dec 2012 B2
8338882 Tanaka et al. Dec 2012 B2
8343851 Kim et al. Jan 2013 B2
8354308 Kang et al. Jan 2013 B2
8355273 Liu Jan 2013 B2
8374033 Kito et al. Feb 2013 B2
8432719 Lue Apr 2013 B2
8432751 Hafez Apr 2013 B2
8455941 Ishihara et al. Jun 2013 B2
8470689 Desplobain et al. Jun 2013 B2
8497512 Nakamura et al. Jul 2013 B2
8501564 Suzawa Aug 2013 B2
8507972 Oota et al. Aug 2013 B2
8508994 Okhonin Aug 2013 B2
8513725 Sakuma et al. Aug 2013 B2
8514623 Widjaja et al. Aug 2013 B2
8566762 Morimoto et al. Aug 2013 B2
8525342 Chandrasekaran Oct 2013 B2
8546956 Nguyen Oct 2013 B2
8603888 Liu Dec 2013 B2
8619490 Yu Dec 2013 B2
8643162 Madurawe Feb 2014 B2
8650516 McIlrath Feb 2014 B2
8679861 Bose Mar 2014 B2
8773562 Fan Jul 2014 B1
8775998 Morimoto Jul 2014 B2
8841777 Farooq Sep 2014 B2
8853785 Augendre Oct 2014 B2
8896054 Sakuma et al. Nov 2014 B2
8928119 Leedy Jan 2015 B2
8971114 Kang Mar 2015 B2
9172008 Hwang Oct 2015 B2
9227456 Chien Jan 2016 B2
9230973 Pachamuthu et al. Jan 2016 B2
9334582 See May 2016 B2
9564450 Sakuma et al. Feb 2017 B2
9570683 Jo Feb 2017 B1
9589982 Cheng et al. Mar 2017 B1
9595530 Zhou Mar 2017 B1
9673257 Takaki Jun 2017 B1
9997530 Yon et al. Jun 2018 B2
20010000005 Forrest et al. Mar 2001 A1
20010014391 Forrest et al. Aug 2001 A1
20010028059 Emma et al. Oct 2001 A1
20020024140 Nakajima et al. Feb 2002 A1
20020025604 Tiwari Feb 2002 A1
20020074668 Hofstee et al. Jun 2002 A1
20020081823 Cheung et al. Jun 2002 A1
20020090758 Henley et al. Jul 2002 A1
20020096681 Yamazaki et al. Jul 2002 A1
20020113289 Cordes et al. Aug 2002 A1
20020132465 Leedy Sep 2002 A1
20020140091 Callahan Oct 2002 A1
20020141233 Hosotani et al. Oct 2002 A1
20020153243 Forrest et al. Oct 2002 A1
20020153569 Katayama Oct 2002 A1
20020175401 Huang et al. Nov 2002 A1
20020180069 Houston Dec 2002 A1
20020190232 Chason Dec 2002 A1
20020199110 Kean Dec 2002 A1
20030015713 Yoo Jan 2003 A1
20030032262 Dennison et al. Feb 2003 A1
20030059999 Gonzalez Mar 2003 A1
20030060034 Beyne et al. Mar 2003 A1
20030061555 Kamei Mar 2003 A1
20030067043 Zhang Apr 2003 A1
20030076706 Andoh Apr 2003 A1
20030102079 Kalvesten et al. Jun 2003 A1
20030107117 Antonelli et al. Jun 2003 A1
20030113963 Wurzer Jun 2003 A1
20030119279 Enquist Jun 2003 A1
20030139011 Cleeves et al. Jul 2003 A1
20030153163 Letertre Aug 2003 A1
20030157748 Kim et al. Aug 2003 A1
20030160888 Yoshikawa Aug 2003 A1
20030173631 Murakami Sep 2003 A1
20030206036 Or-Bach Nov 2003 A1
20030213967 Forrest et al. Nov 2003 A1
20030224582 Shimoda et al. Dec 2003 A1
20030224596 Marxsen et al. Dec 2003 A1
20040007376 Urdahl et al. Jan 2004 A1
20040014299 Moriceau et al. Jan 2004 A1
20040033676 Coronel et al. Feb 2004 A1
20040036126 Chau et al. Feb 2004 A1
20040047539 Okubora et al. Mar 2004 A1
20040061176 Takafuji et al. Apr 2004 A1
20040113207 Hsu et al. Jun 2004 A1
20040143797 Nguyen Jul 2004 A1
20040150068 Leedy Aug 2004 A1
20040150070 Okada Aug 2004 A1
20040152272 Fladre et al. Aug 2004 A1
20040155301 Zhang Aug 2004 A1
20040156172 Lin et al. Aug 2004 A1
20040156233 Bhattacharyya Aug 2004 A1
20040164425 Urakawa Aug 2004 A1
20040166649 Bressot et al. Aug 2004 A1
20040174732 Morimoto Sep 2004 A1
20040175902 Rayssac et al. Sep 2004 A1
20040178819 New Sep 2004 A1
20040195572 Kato et al. Oct 2004 A1
20040219765 Reif et al. Nov 2004 A1
20040229444 Couillard Nov 2004 A1
20040259312 Schlosser et al. Dec 2004 A1
20040262635 Lee Dec 2004 A1
20040262772 Ramanathan et al. Dec 2004 A1
20050003592 Jones Jan 2005 A1
20050010725 Eilert Jan 2005 A1
20050023656 Leedy Feb 2005 A1
20050045919 Kaeriyama et al. Mar 2005 A1
20050067620 Chan et al. Mar 2005 A1
20050067625 Hata Mar 2005 A1
20050073060 Datta et al. Apr 2005 A1
20050082526 Bedell et al. Apr 2005 A1
20050098822 Mathew May 2005 A1
20050110041 Boutros et al. May 2005 A1
20050121676 Fried et al. Jun 2005 A1
20050121789 Madurawe Jun 2005 A1
20050130351 Leedy Jun 2005 A1
20050130429 Rayssac et al. Jun 2005 A1
20050148137 Brask et al. Jul 2005 A1
20050176174 Leedy Aug 2005 A1
20050218521 Lee Oct 2005 A1
20050225237 Winters Oct 2005 A1
20050266659 Ghyselen et al. Dec 2005 A1
20050273749 Kirk Dec 2005 A1
20050280061 Lee Dec 2005 A1
20050280090 Anderson et al. Dec 2005 A1
20050280154 Lee Dec 2005 A1
20050280155 Lee Dec 2005 A1
20050280156 Lee Dec 2005 A1
20050282019 Fukushima et al. Dec 2005 A1
20060014331 Tang et al. Jan 2006 A1
20060024923 Sarma et al. Feb 2006 A1
20060033110 Alam et al. Feb 2006 A1
20060033124 Or-Bach et al. Feb 2006 A1
20060043367 Chang et al. Feb 2006 A1
20060049449 Iino Mar 2006 A1
20060065953 Kim et al. Mar 2006 A1
20060067122 Verhoeven Mar 2006 A1
20060071322 Kitamura Apr 2006 A1
20060071332 Speers Apr 2006 A1
20060083280 Tauzin et al. Apr 2006 A1
20060108613 Song May 2006 A1
20060113522 Lee et al. Jun 2006 A1
20060118935 Kamiyama et al. Jun 2006 A1
20060121690 Pogge et al. Jun 2006 A1
20060150137 Madurawe Jul 2006 A1
20060158511 Harrold Jul 2006 A1
20060170046 Hara Aug 2006 A1
20060179417 Madurawe Aug 2006 A1
20060181202 Liao et al. Aug 2006 A1
20060189095 Ghyselen et al. Aug 2006 A1
20060194401 Hu et al. Aug 2006 A1
20060195729 Huppenthal et al. Aug 2006 A1
20060207087 Jafri et al. Sep 2006 A1
20060224814 Kim et al. Oct 2006 A1
20060237777 Choi Oct 2006 A1
20060249859 Eiles et al. Nov 2006 A1
20060275962 Lee Dec 2006 A1
20070004150 Huang Jan 2007 A1
20070014508 Chen et al. Jan 2007 A1
20070035329 Madurawe Feb 2007 A1
20070063259 Derderian et al. Mar 2007 A1
20070072391 Pocas et al. Mar 2007 A1
20070076509 Zhang Apr 2007 A1
20070077694 Lee Apr 2007 A1
20070077743 Rao et al. Apr 2007 A1
20070090416 Doyle et al. Apr 2007 A1
20070102737 Kashiwabara et al. May 2007 A1
20070103191 Sugawara et al. May 2007 A1
20070108523 Ogawa et al. May 2007 A1
20070109831 RaghuRam May 2007 A1
20070111386 Kim et al. May 2007 A1
20070111406 Joshi et al. May 2007 A1
20070132049 Stipe Jun 2007 A1
20070132369 Forrest et al. Jun 2007 A1
20070135013 Faris Jun 2007 A1
20070141781 Park Jun 2007 A1
20070158659 Bensce Jul 2007 A1
20070158831 Cha et al. Jul 2007 A1
20070187775 Okhonin et al. Aug 2007 A1
20070190746 Ito et al. Aug 2007 A1
20070194453 Chakraborty et al. Aug 2007 A1
20070206408 Schwerin Sep 2007 A1
20070210336 Madurawe Sep 2007 A1
20070211535 Kim Sep 2007 A1
20070215903 Sakamoto et al. Sep 2007 A1
20070218622 Lee et al. Sep 2007 A1
20070228383 Bernstein et al. Oct 2007 A1
20070252201 Kito et al. Nov 2007 A1
20070252203 Zhu et al. Nov 2007 A1
20070262457 Lin Nov 2007 A1
20070275520 Suzuki Nov 2007 A1
20070281439 Bedell et al. Dec 2007 A1
20070283298 Bernstein et al. Dec 2007 A1
20070287224 Alam et al. Dec 2007 A1
20070296073 Wu Dec 2007 A1
20070297232 Iwata Dec 2007 A1
20080001204 Lee Jan 2008 A1
20080003818 Seidel et al. Jan 2008 A1
20080030228 Amarilio Feb 2008 A1
20080032463 Lee Feb 2008 A1
20080038902 Lee Feb 2008 A1
20080048239 Huo Feb 2008 A1
20080048327 Lee Feb 2008 A1
20080054359 Yang et al. Mar 2008 A1
20080067573 Jang et al. Mar 2008 A1
20080070340 Borrelli et al. Mar 2008 A1
20080072182 He et al. Mar 2008 A1
20080099780 Tran May 2008 A1
20080099819 Kito et al. May 2008 A1
20080108171 Rogers et al. May 2008 A1
20080124845 Yu et al. May 2008 A1
20080128745 Mastro et al. Jun 2008 A1
20080128780 Nishihara Jun 2008 A1
20080135949 Lo et al. Jun 2008 A1
20080136455 Diamant et al. Jun 2008 A1
20080142937 Chen et al. Jun 2008 A1
20080142959 DeMulder et al. Jun 2008 A1
20080143379 Norman Jun 2008 A1
20080150579 Madurawe Jun 2008 A1
20080160431 Scott et al. Jul 2008 A1
20080160726 Lim et al. Jul 2008 A1
20080165521 Bernstein et al. Jul 2008 A1
20080175032 Tanaka et al. Jul 2008 A1
20080179678 Dyer et al. Jul 2008 A1
20080180132 Ishikawa Jul 2008 A1
20080185648 Jeong Aug 2008 A1
20080191247 Yin et al. Aug 2008 A1
20080191312 Oh et al. Aug 2008 A1
20080194068 Temmler et al. Aug 2008 A1
20080203452 Moon et al. Aug 2008 A1
20080213982 Park et al. Sep 2008 A1
20080220558 Zehavi et al. Sep 2008 A1
20080220565 Hsu et al. Sep 2008 A1
20080224260 Schmit et al. Sep 2008 A1
20080237591 Leedy Oct 2008 A1
20080239818 Mokhlesi Oct 2008 A1
20080242028 Mokhlesi Oct 2008 A1
20080248618 Ahn et al. Oct 2008 A1
20080251862 Fonash et al. Oct 2008 A1
20080254561 Yoo Oct 2008 A2
20080254572 Leedy Oct 2008 A1
20080254623 Chan Oct 2008 A1
20080261378 Yao et al. Oct 2008 A1
20080266960 Kuo Oct 2008 A1
20080272492 Tsang Nov 2008 A1
20080277778 Furman et al. Nov 2008 A1
20080283873 Yang Nov 2008 A1
20080283875 Mukasa et al. Nov 2008 A1
20080284611 Leedy Nov 2008 A1
20080296681 Georgakos et al. Dec 2008 A1
20080315253 Yuan Dec 2008 A1
20080315351 Kakehata Dec 2008 A1
20090001469 Yoshida et al. Jan 2009 A1
20090001504 Takei et al. Jan 2009 A1
20090016716 Ishida Jan 2009 A1
20090026541 Chung Jan 2009 A1
20090026618 Kim Jan 2009 A1
20090032899 Irie Feb 2009 A1
20090032951 Andry et al. Feb 2009 A1
20090039918 Madurawe Feb 2009 A1
20090052827 Durfee et al. Feb 2009 A1
20090055789 McIlrath Feb 2009 A1
20090057879 Garrou et al. Mar 2009 A1
20090061572 Hareland et al. Mar 2009 A1
20090064058 McIlrath Mar 2009 A1
20090065827 Hwang Mar 2009 A1
20090066365 Solomon Mar 2009 A1
20090066366 Solomon Mar 2009 A1
20090070721 Solomon Mar 2009 A1
20090070727 Solomon Mar 2009 A1
20090078970 Yamazaki Mar 2009 A1
20090079000 Yamazaki et al. Mar 2009 A1
20090081848 Erokhin Mar 2009 A1
20090087759 Matsumoto et al. Apr 2009 A1
20090096009 Dong et al. Apr 2009 A1
20090096024 Shingu et al. Apr 2009 A1
20090108318 Yoon et al. Apr 2009 A1
20090115042 Koyanagi May 2009 A1
20090128189 Madurawe et al. May 2009 A1
20090134397 Yokoi et al. May 2009 A1
20090144669 Bose et al. Jun 2009 A1
20090144678 Bose et al. Jun 2009 A1
20090146172 Pumyea Jun 2009 A1
20090159870 Lin et al. Jun 2009 A1
20090160482 Karp et al. Jun 2009 A1
20090161401 Bigler et al. Jun 2009 A1
20090162993 Yui et al. Jun 2009 A1
20090166627 Han Jul 2009 A1
20090174018 Dungan Jul 2009 A1
20090179268 Abou-Khalil et al. Jul 2009 A1
20090185407 Park Jul 2009 A1
20090194152 Liu et al. Aug 2009 A1
20090194768 Leedy Aug 2009 A1
20090194829 Chung Aug 2009 A1
20090194836 Kim Aug 2009 A1
20090204933 Rezgui Aug 2009 A1
20090212317 Kolodin et al. Aug 2009 A1
20090218627 Zhu Sep 2009 A1
20090221110 Lee et al. Sep 2009 A1
20090224330 Hong Sep 2009 A1
20090224364 Oh et al. Sep 2009 A1
20090230462 Tanaka et al. Sep 2009 A1
20090234331 Langereis et al. Sep 2009 A1
20090236749 Otemba et al. Sep 2009 A1
20090242893 Tomiyasu Oct 2009 A1
20090242935 Fitzgerald Oct 2009 A1
20090250686 Sato et al. Oct 2009 A1
20090262572 Krusin-Elbaum Oct 2009 A1
20090262583 Lue Oct 2009 A1
20090263942 Ohnuma et al. Oct 2009 A1
20090267233 Lee Oct 2009 A1
20090268983 Stone et al. Oct 2009 A1
20090272989 Shum et al. Nov 2009 A1
20090290434 Kurjanowicz Nov 2009 A1
20090294822 Batude et al. Dec 2009 A1
20090294836 Kiyotoshi Dec 2009 A1
20090294861 Thomas et al. Dec 2009 A1
20090302294 Kim Dec 2009 A1
20090302387 Joshi et al. Dec 2009 A1
20090302394 Fujita Dec 2009 A1
20090309152 Knoefler et al. Dec 2009 A1
20090315095 Kim Dec 2009 A1
20090317950 Okihara Dec 2009 A1
20090321830 Maly Dec 2009 A1
20090321853 Cheng Dec 2009 A1
20090321948 Wang et al. Dec 2009 A1
20090325343 Lee Dec 2009 A1
20100001282 Mieno Jan 2010 A1
20100013049 Tanaka Jan 2010 A1
20100025766 Nuttinck et al. Feb 2010 A1
20100025825 DeGraw et al. Feb 2010 A1
20100031217 Sinha et al. Feb 2010 A1
20100032635 Schwerin Feb 2010 A1
20100038699 Katsumata et al. Feb 2010 A1
20100038743 Lee Feb 2010 A1
20100045849 Yamasaki Feb 2010 A1
20100052134 Werner et al. Mar 2010 A1
20100058580 Yazdani Mar 2010 A1
20100059796 Scheuerlein Mar 2010 A1
20100078770 Purushothaman et al. Apr 2010 A1
20100081232 Furman et al. Apr 2010 A1
20100089627 Huang et al. Apr 2010 A1
20100090188 Fatasuyama Apr 2010 A1
20100112753 Lee May 2010 A1
20100112810 Lee et al. May 2010 A1
20100117048 Lung et al. May 2010 A1
20100123202 Hofmann May 2010 A1
20100123480 Kitada et al. May 2010 A1
20100133695 Lee Jun 2010 A1
20100133704 Marimuthu et al. Jun 2010 A1
20100137143 Rothberg et al. Jun 2010 A1
20100139836 Horikoshi Jun 2010 A1
20100140790 Setiadi et al. Jun 2010 A1
20100155932 Gambino Jun 2010 A1
20100157117 Wang Jun 2010 A1
20100159650 Song Jun 2010 A1
20100181600 Law Jul 2010 A1
20100190334 Lee Jul 2010 A1
20100193884 Park et al. Aug 2010 A1
20100193964 Farooq et al. Aug 2010 A1
20100219392 Awaya Sep 2010 A1
20100221867 Bedell et al. Sep 2010 A1
20100224876 Zhu Sep 2010 A1
20100224915 Kawashima et al. Sep 2010 A1
20100225002 Law et al. Sep 2010 A1
20100232200 Shepard Sep 2010 A1
20100252934 Law Oct 2010 A1
20100264551 Farooq Oct 2010 A1
20100276662 Colinge Nov 2010 A1
20100289144 Farooq Nov 2010 A1
20100297844 Yelehanka Nov 2010 A1
20100307572 Bedell et al. Dec 2010 A1
20100308211 Cho et al. Dec 2010 A1
20100308863 Gliese et al. Dec 2010 A1
20100320514 Tredwell Dec 2010 A1
20100320526 Kidoh et al. Dec 2010 A1
20100330728 McCarten Dec 2010 A1
20100330752 Jeong Dec 2010 A1
20110001172 Lee Jan 2011 A1
20110003438 Lee Jan 2011 A1
20110024724 Frolov et al. Feb 2011 A1
20110026263 Xu Feb 2011 A1
20110027967 Beyne Feb 2011 A1
20110037052 Schmidt et al. Feb 2011 A1
20110042696 Smith et al. Feb 2011 A1
20110049336 Matsunuma Mar 2011 A1
20110050125 Medendorp et al. Mar 2011 A1
20110053332 Lee Mar 2011 A1
20110101537 Barth et al. May 2011 A1
20110102014 Madurawe May 2011 A1
20110111560 Purushothaman May 2011 A1
20110115023 Cheng May 2011 A1
20110128777 Yamazaki Jun 2011 A1
20110134683 Yamazaki Jun 2011 A1
20110143506 Lee Jun 2011 A1
20110147791 Norman et al. Jun 2011 A1
20110147849 Augendre et al. Jun 2011 A1
20110159635 Doan et al. Jun 2011 A1
20110170331 Oh Jul 2011 A1
20110204917 O'Neill Aug 2011 A1
20110221022 Toda Sep 2011 A1
20110222356 Banna Sep 2011 A1
20110227158 Zhu Sep 2011 A1
20110241082 Bernstein et al. Oct 2011 A1
20110284946 Kiyotoshi Nov 2011 A1
20110284992 Zhu Nov 2011 A1
20110286283 Lung et al. Nov 2011 A1
20110304765 Yogo et al. Dec 2011 A1
20110309432 Ishihara et al. Dec 2011 A1
20110314437 McIlrath Dec 2011 A1
20120001184 Ha et al. Jan 2012 A1
20120003815 Lee Jan 2012 A1
20120013013 Sadaka et al. Jan 2012 A1
20120025388 Law et al. Feb 2012 A1
20120032250 Son et al. Feb 2012 A1
20120034759 Sakaguchi et al. Feb 2012 A1
20120063090 Hsiao et al. Mar 2012 A1
20120074466 Setiadi et al. Mar 2012 A1
20120086100 Andry Apr 2012 A1
20120126197 Chung May 2012 A1
20120161310 Brindle et al. Jun 2012 A1
20120169319 Dennard Jul 2012 A1
20120178211 Hebert Jul 2012 A1
20120181654 Lue Jul 2012 A1
20120182801 Lue Jul 2012 A1
20120187444 Oh Jul 2012 A1
20120193785 Lin Aug 2012 A1
20120241919 Mitani Sep 2012 A1
20120286822 Madurawe Nov 2012 A1
20120304142 Morimoto Nov 2012 A1
20120317528 McIlrath Dec 2012 A1
20120319728 Madurawe Dec 2012 A1
20130026663 Radu et al. Jan 2013 A1
20130037802 England Feb 2013 A1
20130049796 Pang Feb 2013 A1
20130070506 Kajigaya Mar 2013 A1
20130082235 Gu et al. Apr 2013 A1
20130097574 Balabanov et al. Apr 2013 A1
20130100743 Lue Apr 2013 A1
20130128666 Avila May 2013 A1
20130187720 Ishii Jul 2013 A1
20130193550 Sklenard et al. Aug 2013 A1
20130196500 Batude et al. Aug 2013 A1
20130203248 Ernst et al. Aug 2013 A1
20130263393 Mazumder Oct 2013 A1
20130337601 Kapur Dec 2013 A1
20140015136 Gan et al. Jan 2014 A1
20140048867 Toh Feb 2014 A1
20140099761 Kim et al. Apr 2014 A1
20140103959 Andreev Apr 2014 A1
20140117413 Madurawe May 2014 A1
20140120695 Ohtsuki May 2014 A1
20140131885 Samadi et al. May 2014 A1
20140137061 McIlrath May 2014 A1
20140145347 Samadi et al. May 2014 A1
20140146630 Xie et al. May 2014 A1
20140149958 Samadi et al. May 2014 A1
20140151774 Rhie Jun 2014 A1
20140191357 Lee Jul 2014 A1
20140225218 Du Aug 2014 A1
20140225235 Du Aug 2014 A1
20140252306 Du Sep 2014 A1
20140253196 Du et al. Sep 2014 A1
20140264228 Toh Sep 2014 A1
20140357054 Son et al. Dec 2014 A1
20150243887 Saitoh Aug 2015 A1
20150255418 Gowda Sep 2015 A1
20150340369 Lue Nov 2015 A1
20160049201 Lue Feb 2016 A1
20160104780 Mauder Apr 2016 A1
20160133603 Ahn May 2016 A1
20160141299 Hong May 2016 A1
20160141334 Takaki May 2016 A1
20160307952 Huang Oct 2016 A1
20160343687 Vadhavkar Nov 2016 A1
20170069601 Park Mar 2017 A1
20170092371 Harari Mar 2017 A1
20170098596 Lin Apr 2017 A1
20170148517 Harari May 2017 A1
20170179146 Park Jun 2017 A1
20170221900 Widjaja Aug 2017 A1
20180090368 Eun-Jeong et al. Mar 2018 A1
20180108416 Harari Apr 2018 A1
20180294284 Tarakji Oct 2018 A1
Foreign Referenced Citations (2)
Number Date Country
1267594 Dec 2002 EP
PCTUS2008063483 May 2008 WO
Non-Patent Literature Citations (271)
Entry
Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366.
Demeester, P. et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8.
Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334.
Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419.
Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol., no., pp. 14-15, Jun. 12-14, 2007.
Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132.
Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189.
Dicioccio, L., et. al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113.
Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189.
Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009.
Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (ΦFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640.
Celler, G.K. et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9.
Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74.
Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64.
Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004.
Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12.
Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635.
Suntharalingam, V. et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1.
Coudrain, P. et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4.
Flamand, G. et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-VS Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042.
Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15.
Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125.
Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31.
Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52.
Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4.
Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348.
Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59.
Yoon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5.
Franzon, P.D. et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673.
Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71.
Dong, C. et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447.
Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages.
Bakir M., et al., “3D Device-Stacking Technology for Memory,” Chptr. 13.4, pp. 407-410, in “Integrated Interconnect Technologies for 3D Nano Electronic Systems”, 2009, Artech House.
Weis, M. et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009.
Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1.
Luo, Z.S. et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726.
Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings.
Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009.
Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107.
Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103.
Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220.
Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335.
Bobba, S. et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4.
Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4.
Lee, Y.-J., et. al, “3D 65nm CMOS with 320° C. Microwave Dopant Activation”, IEDM 2010, pp. 1-4.
Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-58.
Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360° C. by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236.
Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3( Stacked Single-crystal Si ) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268.
Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003.
Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112.
Radu, I., et al., “Recent Developments of Cu—Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010.
Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4.
Jung, S.-M., et al., ““Three Dimensionally Stacked Nand Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node””, IEDM 2006, Dec. 11-13, 2006.
Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003.
Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22.
Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3( Stacked Single-crystal Si ) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221.
Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415.
Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106.
Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for Nano-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong.
Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010.
Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220.
Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17.
Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008.
Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203.
Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894.
Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005.
Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation.
Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415.
Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660.
Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45.
Kim, K., “From the Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9.
Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J.et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg.
Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010.
Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510.
Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122.
Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764.
Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006.
Dong, C., et al., “3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501.
Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4.
Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003.
Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100.
Sadaka, M., et al., “Building Blocks for wafer level 3D integration”,www.electroiq.com, Aug. 18, 2010, last accessed Aug. 18, 2010.
Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), IEEE Computer Society.
Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96.
Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986.
Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945.
Kunio, T., et al., “Three Dimensional ICS, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840.
Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283.
Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014.
Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45.
Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6, 2005, San Francisco.
Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4.
Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Batude, P., et al., “Advances, Challenges and Opportunties in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154.
Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774.
Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87.
Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA.
Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010.
Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005.
Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012.
Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436.
Kim, J., et al., “A Stacked Memory Device on Logic 3D Technology for Ultra-high-density Data Storage,” Nanotechnology, vol. 22, 254006 (2011).
Lee, K. W., et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Tech. Dig., 2000, pp. 165-168.
Chen, H. Y., et al., “HfOx Based Vertical Resistive Random Access Memory for Cost Effective 3D Cross-Point Architecture without Cell Selector,” Proceedings IEDM 2012, pp. 497-499.
Huet, K., et al., “Ultra Low Thermal Budget Anneals for 3D Memories: Access Device Formation,” Ion Implantation Technology 2012, AIP Conf Proceedings 1496, 135-138 (2012).
Batude, P., et al., “3D Monolithic Integration,” ISCAS 2011 pp. 2233-2236.
Batude, P., et al., “3D Sequential Integration: A Key Enabling Technology for Heterogeneous C-Integration of New Function With CMOS,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, No. 4, Dec. 2012, pp. 714-722.
Vinet, M., et.al., “Germanium on Insulator and new 3D architectures opportunities for integration”, International Journal of Nanotechnology, vol. 7, No. 4, (Aug. 2010) pp. 304-319.
Bernstein, K., et al., “Interconnects in the Third Dimension: Design Challenges for 3DICs,” Design Automation Conference, 2007, DAC'07, 44th ACM/IEEE, vol., no., pp. 562-567, Jun. 4-8, 2007.
Kuroda, T., “ThruChip Interface for Heterogeneous Chip Stacking,” ElectroChemicalSociety Transactions, 50 (14) 63-68 (2012).
Miura, N., et al., “A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,” IEEE Micro Cool Chips XVI, Yokohama, Apr. 17-19, 2013, pp. 1-3(2013).
Kuroda, T., “Wireless Proximity Communications for 3D System Integration,” Future Directions in IC and Package Design Workshop, Oct. 29, 2007.
Qiang, J-Q, “3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems,” Proceedings of the IEEE, 97.1 (2009) pp. 18-30.
Lee, B.H., et al., “A Novel Pattern Transfer Process for Bonded SOI Giga-bit DRAMs,” Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 114-115.
Wu, B., et al., “Extreme ultraviolet lithography and three dimensional circuits,” Applied Phyisics Reviews, 1, 011104 (2014).
Delhougne, R., et al., “First Demonstration of Monocrystalline Silicon Macaroni Channel for 3-D NAND Memory Devices” IEEE VLSI Tech Digest, 2018, pp. 203-204.
Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5.
Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003.
Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005.
Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447.
Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000).
Yonehara, T. et al., “Elton®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4.
Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720.
Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 297-300, Dec. 7-9, 2009.
Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development , vol. 52, No. 4.5, pp. 449-464, Jul. 2008.
Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003).
Auth, C. et al., “45nm High-k + Metal Gate Strain-Enchanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129.
Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247.
Ragnarsson, L., et al., “Ultralow-EOT (5 Å) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009.
Sen, P & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185.
Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169.
Froment, B., et.al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219.
James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr_024377.
Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001.
Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International, pp. 1-4, Dec. 11-13, 2006.
Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683.
Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007.
Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17.
Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to -2, 2009.
Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, Dec. 13-15, 2004.
Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005.
Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005.
Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33.
Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007.
Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190.
Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News.
Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007).
Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6.
Yamada, M. et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41.
Guo, X. et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92.
Takafuji, Y. et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Wierer, J.J. et al., “High-power AlGaInN flip-chip light-emitting diodes, ” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22.
El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002.
Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9.
Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>.
Ohsawa, et al., “Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804.
Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n. Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3.
Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428.
Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576.
Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150.
Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913.
Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663.
Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4.
Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337.
Lu, N.C.C., et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591.
Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2.
Srivastava, P. et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852.
Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28.
Spangler, L.J. et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4.
Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150.
Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403.
Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277.
Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223.
Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004.
Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12.
Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230.
Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10.
Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299.
Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618.
Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54 (11), Nov. 2005, pp. 1329-1344.
Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14.
Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: A review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207.
Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18.
Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670.
Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663.
Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297.
Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763.
Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459.
Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22.
Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308.
Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings Date, pp. 1338-1343.
McLaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96.
Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3).
Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175.
Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378.
Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558.
Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3.
Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493.
Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215.
Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517.
Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45.
Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647.
Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902.
Kim, G.-S., et al., “A 25-mV-sensitivity 2-Gb/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713.
Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452.
Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292.
Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24.
Meindl, J. D., “Beyond Moore's Law: The Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24.
Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410.
He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030.
Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco.
Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008).
Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS MANTECH Conference, May 14-17, 2007 Austin, Texas, pp. 33-36.
Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th International Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467.
Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22.
Borland, J.O., “Low Temperature Activation Of Ion Implanted Dopants: A Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88.
Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054.
El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs.
Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332.
Borkar, S., “Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16.
Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567.
Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733.
Lee, R. T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109.
Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25.
Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223.
Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460.
Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268.
Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers.
Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169.
Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006.
Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006.
Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006.
Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226.
Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All-Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938.
Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12.
Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49.
Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510.
Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040.
Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51.
Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127.
Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134.
Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963.
Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006).
Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276.
Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263.
Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986.
Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088.
Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323.
Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408.
Subbarao, M., et al., “Depth from Defocus: A Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994).
Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628.
Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007).
Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003).
Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998).
Miller, D.A.B., “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70.
En, W. G., et al., “The Genesis Process: A New SOI wafer fabrication method”, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164.
Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24.
He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249.
Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002.
Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992.
Yang, M., et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientation,” Proceedings IEDM 2003.
Yin, H., et al., “Scalable 3-D finlike poly-Si TFT and its nonvolatile memory application,” IEEE Trans. Electron Devices, vol. 55, No. 2, pp. 578-584, Feb. 2008.
Kawaguchi, N., et al., “Pulsed Green-Laser Annealing for Single-Crystalline Silicon Film Transferred onto Silicon wafer and Non-alkaline Glass by Hydrogen-Induced Exfoliation,” Japanese Journal of Appl,ied Physics, vol. 46, No. 1, 2007, pp. 21-23.
Faynot, O. et al., “Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond,” Electron Devices Meeting (IEDM), 2010 IEEE International, vol., no., pp. 3.2.1, 3.2.4, Dec. 6-8, 2010.
Khakifirooz, A., “ETSOI Technology for 20nm and Beyond”, SOI Consortium Workshop: Fully Depleted SOI, Apr. 28, 2011, Hsinchu Taiwan.
Kim, I.-K., et al.,“Advanced Integration Technology for a Highly Scalable SOI DRAM with SOC (Silicon-On-Capacitors)”, IEDM 1996, pp. 96-605-608, 22.5.4.
Lee, B.H., et al., “A Novel CMP Method for cost-effective Bonded SOI Wafer Fabrication,” Proceedings 1995 IEEE International SOI Conference, Oct. 1995, pp. 60-61.
Related Publications (1)
Number Date Country
20180204826 A1 Jul 2018 US
Continuation in Parts (4)
Number Date Country
Parent 14936657 Nov 2015 US
Child 15920499 US
Parent 13274161 Oct 2011 US
Child 14936657 US
Parent 15920499 US
Child 14936657 US
Parent 12904103 Oct 2010 US
Child 15920499 US